登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

『簡體書』EDA技术与Verilog HDL(第4版)

書城自編碼: 4007331
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 黄继业 黄汐威潘 松陈 龙
國際書號(ISBN): 9787302666165
出版社: 清华大学出版社
出版日期: 2024-06-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 415

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
数学思维1: 逻辑与数(原书第7版)
《 数学思维1: 逻辑与数(原书第7版) 》

售價:NT$ 515.0
创客训练营  Arduino 应用技能实训
《 创客训练营 Arduino 应用技能实训 》

售價:NT$ 307.0
伯罗奔尼撒战争史(修订译本)
《 伯罗奔尼撒战争史(修订译本) 》

售價:NT$ 931.0
中国医学的起源(知史丛书)
《 中国医学的起源(知史丛书) 》

售價:NT$ 1030.0
机器人学基础   于靖军 王巍
《 机器人学基础 于靖军 王巍 》

售價:NT$ 390.0
骰子世界
《 骰子世界 》

售價:NT$ 259.0
乾隆的百宝箱:清宫宝藏与京城时尚
《 乾隆的百宝箱:清宫宝藏与京城时尚 》

售價:NT$ 614.0
工程机械手册——农林牧渔机械
《 工程机械手册——农林牧渔机械 》

售價:NT$ 2070.0

編輯推薦:
《EDA技术与Verilog HDL(第4版)》拥有多年教学经验的高校教授编写;内容知识前沿,实验规范,案例丰富,解析深刻,可以帮助学生进行有效学习。
內容簡介:
《EDA技术与Verilog HDL(第4版)》系统地介绍了EDA技术和Verilog HDL硬件描述语言,将Verilog HDL的基础知识、编程技巧和实用方法与实际工程开发技术在Quartus/Vivado上很好地结合起来,使读者通过《EDA技术与Verilog HDL(第4版)》的学习能迅速了解并掌握EDA技术的基本理论和工程开发实用技术,为后续的深入学习和发展打下坚实的理论与实践基础。
依据高校课堂教学和实验操作的规律与要求,并以提高学生的实际工程设计能力和自主创新能力为目的,合理编排全书内容。全书共分为7个部分:EDA技术的概述、VHDL语法知识及其实用技术、Quartus/Vivado及IP模块的详细使用方法、有限状态机设计技术、16/32位实用CPU设计技术及创新实践项目、基于ModelSim的Test Bench仿真技术、以及基于MATLAB和DSP Builder平台的EDA设计技术及大量实用系统设计示例。除个别章节外,大多数章节都安排了相应的习题和大量针对性强的实验与设计项目。书中列举的VHDL示例都经编译通过或经硬件测试通过。
本书主要面向高等院校本、专科的EDA技术和VHDL语言基础课,推荐作为电子信息类、通信、自动化、计算机类、电子对抗、仪器仪表、人工智能等学科专业和相关实验指导课的教材用书或主要参考书,同时也可作为电子设计竞赛、FPGA开发应用的自学参考书。
關於作者:
黄继业,杭州电子科技大学教授,国家级一流本科课程负责人(2门),多年从事EDA技术、FPGA与嵌入式系统方面的科研与教学工作。作为第2完成人获省科学技术奖二三等奖各1项,参与获得国家级教学成果奖二等奖2项、省教学成果特等一二等奖各1项,主编参编教材十余部。指导学生参加全国大学生电子设计竞赛/智能汽车竞赛获全国一等奖超30项。
黄汐威,新加坡南洋理工大学电路与系统专业博士,美国麻省理工学院访问学者,现任杭州电子科技大学电子信息学院教授、博导、国家一流专业集成电路设计与集成系统系主任,主要从事生物医疗传感检测电路与系统相关研究,近年来主持多个国家和省部级项目。
潘松,在杭州电子科技大学(原杭州电子工业学院)任教30多年直至退休。长期致力于“EDA技术”课程的教学研究与教学改革,是国内最早在本科教学中引入 “EDA技术”课程的课程创建者之一,也是较早把“EDA技术”教学引入高等职业教育的先行者。在国内“EDA技术”教学领域有加大的知名度与影响力。
陈龙,杭州电子科技大学教书,博导,主编参编教材7部,获国家级教学成果二等奖2项,浙江省教学成果特等奖1项、一等奖1项、二等奖1项。指导学生全国大学生智能汽车竞赛、全国大学生电子设计竞赛获国家级奖项40余项。获浙江省“万人计划”教学名师、浙江省高校“优秀共产党员”、浙江省“教坛新秀”、浙江省“五星级青年教师”。
目錄
第1章 概述 1
1.1 EDA技术 1
1.2 EDA技术应用对象 3
1.3 常用的硬件描述语言 4
1.4 EDA技术的优势 6
1.5 面向FPGA的开发流程 7
1.5.1 设计输入 7
1.5.2 综合 8
1.5.3 适配(布局布线) 10
1.5.4 仿真与时序分析 10
1.5.5 RTL描述 11
1.6 可编程逻辑器件 11
1.6.1 PLD的分类 11
1.6.2 PROM可编程原理 12
1.6.3 GAL 14
1.7 CPLD的结构与可编程原理 16
1.8 FPGA的结构与工作原理 18
1.8.1 查找表逻辑结构 18
1.8.2 Cyclone 4E/10 LP系列器件的结构原理 19
1.8.3 内嵌Flash的FPGA器件 22
1.8.4 Artix-7系列FPGA的基本结构 22
1.8.5 主要FPGA生产厂商 25
1.9 硬件测试技术 26
1.9.1 内部逻辑测试 26
1.9.2 JTAG边界扫描测试 27
1.10 编程与配置 28
1.11 Quartus 29
1.12 IP核 30
1.13 主要EDA软件公司 31
1.14 EDA的发展趋势 31
习题 33
第2章 程序结构与数据类型 34
2.1 Verilog程序结构 34
2.1.1 Verilog模块的表达方式 35
2.1.2 Verilog模块的端口信号名和端口模式 35
2.1.3 Verilog信号类型定义 36
2.1.4 Verilog模块功能描述 37
2.2 Verilog的数据类型 37
2.2.1 net线网类型 37
2.2.2 wire线网型变量的定义方法 38
2.2.3 register寄存器类型 38
2.2.4 reg寄存器型变量的定义方法 39
2.2.5 integer类型变量的定义方法 40
2.2.6 存储器类型 40
2.3 Verilog文字规则 42
2.3.1 Verilog的4种逻辑状态 42
2.3.2 Verilog的数字表达形式 42
2.3.3 数据类型表示方式 43
2.3.4 常量 43
2.3.5 标识符、关键词及其他文字规则 45
2.3.6 参数定义关键词parameter和localparam的用法 46
习题 47
第3章 行为语句 48
3.1 过程语句 48
3.1.1 always语句 48
3.1.2 always语句在D触发器设计中的应用 50
3.1.3 多过程应用与异步时序电路设计 50
3.1.4 简单加法计数器的Verilog表述 51
3.1.5 initial语句 52
3.2 块语句 53
3.3 case条件语句 54
3.4 if条件语句 55
3.4.1 if语句的一般表述形式 55
3.4.2 基于if语句的组合电路设计 56
3.4.3 基于if语句的时序电路设计 58
3.4.4 含异步复位和时钟使能的D触发器的设计 59
3.4.5 含同步复位控制的D触发器的设计 60
3.4.6 含清零控制的锁存器的设计 61
3.4.7 时钟过程表述的特点和规律 62
3.4.8 实用加法计数器设计 64
3.4.9 含同步预置功能的移位寄存器设计 65
3.4.10 关注if语句中的条件指示 66
3.5 过程赋值语句 67
3.6 循环语句 68
3.6.1 for语句 68
3.6.2 while语句 69
3.6.3 repeat语句 70
3.6.4 forever语句 71
3.7 任务与函数语句 71
习题 73
第4章 FPGA仿真与硬件实现 75
4.1 代码编辑输入和系统编译 75
4.1.1 编辑和输入设计文件 75
4.1.2 创建工程 76
4.1.3 约束项目设置 77
4.1.4 全程综合与编译 79
4.1.5 RTL图观察器应用 80
4.2 仿真测试 81
4.3 硬件测试 84
4.3.1 引脚锁定 84
4.3.2 编译文件下载 86
4.3.3 通过JTAG口对配置芯片进行间接编程 87
4.4 电路原理图设计流程 89
4.4.1 设计一个半加器 89
4.4.2 完成全加器顶层设计 90
4.4.3 对全加器进行时序仿真和硬件测试 91
4.5 利用属性表述实现引脚锁定 92
4.6 Signal Tap的用法 93
4.7 编辑Signal Tap的触发信号 98
4.8 USB-Blaster驱动程序安装方法 98
4.9 Vivado平台仿真与硬件实现 99
4.9.1 创建工程 99
4.9.2 编辑和输入设计文件 101
4.9.3 全程综合编译与实现 102
4.9.4 RTL图观察器应用 105
4.9.5 仿真 105
4.9.6 硬件测试 107
习题 107
实验与设计 108
实验4-1 多路选择器设计实验 108
实验4-2 十六进制7段数码显示译码器设计 108
实验4-3 8位硬件乘法器设计实验 110
实验4-4 应用宏模块设计数字频率计 110
实验4-5 计数器设计实验 114
实验4-6 数码扫描显示电路设计 115
实验4-7 半整数与奇数分频器设计 115
第5章 运算符与结构描述语句 118
5.1 运算操作符 118
5.1.1 按位逻辑操作符 118
5.1.2 逻辑运算操作符 119
5.1.3 算术运算操作符 119
5.1.4 关系运算操作符 120
5.1.5 BCD码加法器设计示例 121
5.1.6 缩位操作符 122
5.1.7 并位操作符 122
5.1.8 移位操作符 123
5.1.9 移位操作符用法示例 123
5.1.10 条件操作符 124
5.2 连续赋值语句 125
5.3 例化语句 126
5.3.1 半加器设计 126
5.3.2 全加器设计 126
5.3.3 Verilog例化语句及其用法 127
5.4 参数传递语句应用 129
5.5 用库元件实现结构描述 130
5.6 编译指示语句 131
5.6.1 宏定义命令语句 132
5.6.2 文件包含语句 ‘include 132
5.6.3 条件编译命令语句’ifdef、‘else、’endif 133
5.7 keep属性应用 134
5.8 SignalProbe使用方法 135
习题 137
实验与设计 138
实验5-1 高速硬件除法器设计实验 138
实验5-2 不同类型的移位寄存器设计实验 139
实验5-3 基于Verilog代码的频率计设计 139
实验5-4 8位加法器设计实验 141
实验5-5 VGA彩条信号显示控制电路设计 141
第6章 IP核的应用 145
6.1 调用计数器宏模块示例 145
6.1.1 计数器LPM模块文本代码的调用 145
6.1.2 LPM计数器代码与参数传递语句应用 146
6.1.3 创建工程与仿真测试 148
6.2 利用属性控制乘法器构建的示例 149
6.3 LPM_RAM宏模块用法 150
6.3.1 初始化文件及其生成 150
6.3.2 以原理图方式对LPM_RAM进行调用 152
6.3.3 测试LPM_RAM 154
6.3.4 Verilog代码描述的存储器初始化文件加载表述 154
6.3.5 存储器设计的结构控制 155
6.4 LPM_ROM使用示例 156
6.4.1 简易正弦信号发生器设计 156
6.4.2 正弦信号发生器硬件实现和测试 158
6.5 存储器内容在系统编辑器应用 159
6.6 嵌入式锁相环调用 161
6.6.1 建立嵌入式锁相环元件 161
6.6.2 测试锁相环 163
6.7 In-System Sources and Probes Editor用法 164
6.8 DDS实现原理与应用 166
6.8.1 DDS原理 166
6.8.2 DDS信号发生器设计示例 168
习题 169
实验与设计 170
实验6-1 查表式硬件运算器设计 170
实验6-2 正弦信号发生器设计 171
实验6-3 简易逻辑分析仪设计 171
实验6-4 DDS正弦信号发生器设计 172
实验6-5 移相信号发生器设计 173
实验6-6 AM幅度调制信号发生器设计 174
实验6-7 硬件消抖动电路设计 174
第7章 Verilog HDL深入 176
7.1 过程中的两类赋值语句 176
7.1.1 未指定延时的阻塞式赋值 176
7.1.2 指定了延时的阻塞式赋值 177
7.1.3 未指定延时的非阻塞式赋值 177
7.1.4 指定了延时的非阻塞式赋值 179
7.1.5 深入认识阻塞式与非阻塞式赋值的特点 180
7.1.6 对不同的赋初值方式的进一步探讨 182
7.2 过程语句讨论 184
7.2.1 过程语句应用总结 184
7.2.2 不完整条件语句与时序电路的关系 185
7.3 三态与双向端口设计 186
7.3.1 三态控制电路设计 186
7.3.2 双向端口设计 187
7.3.3 三态总线控制电路设计 189
7.4 资源优化 190
7.4.1 资源共享 191
7.4.2 逻辑优化 192
7.4.3 串行化 192
7.5 速度优化 193
习题 195
实验与设计 197
实验7-1 4×4阵列键盘按键信号检测电路设计 197
实验7-2 直流电机综合测控系统设计 198
实验7-3 VGA简单图像显示控制模块设计 200
实验7-4 硬件乐曲演奏电路设计 201
实验7-5 PS/2键盘控制模型电子琴电路设计 204
实验7-6 FIR数字滤波器设计实验 207
第8章 状态机设计技术 208
8.1 Verilog状态机的一般形式 208
8.1.1 状态机的特点与优势 208
8.1.2 状态机的一般结构 209
8.1.3 初始控制与表述 212
8.2 Moore型状态机 213
8.2.1 多过程结构状态机 214
8.2.2 序列检测器及其状态机设计 218
8.3 Mealy型状态机 219
8.4 不同编码类型状态机 222
8.4.1 直接输出型编码 222
8.4.2 用宏定义语句定义状态编码 224
8.4.3 顺序编码 225
8.4.4 一位热码编码 225
8.4.5 状态编码设置 226
8.5 安全状态机设计 227
8.5.1 状态导引法 228
8.5.2 状态编码监测法 228
8.5.3 借助EDA工具自动生成安全状态机 229
习题 229
实验与设计 230
实验8-1 序列检测器设计 230
实验8-2 ADC采样控制电路设计 230
实验8-3 五功能智能逻辑笔设计 232
实验8-4 数据采集模块设计 233
第9章 16/32位CPU创新设计 235
9.1 KX9016的结构与特色 235
9.2 KX9016基本硬件系统设计 238
9.2.1 单步节拍发生模块 238
9.2.2 ALU模块 239
9.2.3 比较器模块 239
9.2.4 基本寄存器与寄存器阵列组 240
9.2.5 移位器模块 242
9.2.6 程序与数据存储器模块 243
9.3 KX9016指令系统设计 243
9.3.1 指令格式 243
9.3.2 指令操作码 245
9.3.3 软件程序设计示例 246
9.3.4 KX9016控制器设计 247
9.3.5 指令设计示例 251
9.4 KX9016的时序仿真与硬件测试 252
9.4.1 时序仿真与指令执行波形分析 252
9.4.2 CPU工作情况的硬件测试 254
9.5 KX9016应用程序设计示例和系统优化 256
9.5.1 乘法算法及其硬件实现 257
9.5.2 除法算法及其硬件实现 258
9.5.3 KX9016的硬件系统优化 258
9.6 32位RISC-V处理器设计 259
9.6.1 RISC-V基本结构与基本整数指令集RV32I 260
9.6.2 32位乘法指令集RV32M 263
9.6.3 16位压缩指令集RVC 263
习题 264
实验与设计 265
实验9-1 16位CPU设计综合实验 265
实验9-2 新指令设计及程序测试实验 265
实验9-3 16位CPU的优化设计与创新 266
第10章 Verilog HDL仿真 268
10.1 Verilog HDL仿真流程 269
10.2 Verilog 测试基准示例 271
10.3 Verilog Test Bench测试流程 272
10.4 Verilog系统任务和系统函数 275
10.4.1 系统任务和系统函数 275
10.4.2 预编译语句 281
10.5 延时模型 282
10.5.1 # 延时和门延时 282
10.5.2 延时说明块 283
10.6 其他仿真语句 283
10.6.1 fork-join块语句 283
10.6.2 wait语句 284
10.6.3 force、release语句 285
10.6.4 deassign语句 285
10.7 仿真激励信号的产生 286
10.8 数字系统仿真 287
习题 288
实验与设计 288
实验10-1 在ModelSim上对计数器的Test Bench进行仿真 288
实验10-2 在ModelSim上进行16位累加器设计仿真 288
补充实验列表 289
附录A EDA教学实验平台系统及相关软件 290
A.1 KX系列EDA-FPGA教学综合实验平台 291
A.1.1 模块化自主创新实验设计结构 291
A.1.2 动态配置I/O高效实验控制系统 292
A.1.3 不同厂家不同功能类型的FPGA核心板 293
A.1.4 引脚对照表 295
A.2 部分实验扩展模块 295
A.3 mif文件生成器使用方法 296
A.4 HX1006A及其引脚锁定工具软件 297
参考文献 299
內容試閱
基于工程领域中EDA技术的巨大实用价值,以及对EDA教学中实践能力和创新意识培养的极端重视,本书的特色主要体现在如下两个方面。
1.注重实践能力和创新能力的培养
本书在绝大部分章节中都安排了针对性较强的实验与设计项目,使学生对每一章的课堂教学内容和教学效果能及时通过实验得以消化和强化,并尽可能地从开始学习时就有机会将理论知识与实践、自主设计紧密联系起来。
全书包含数十个实验及其相关的设计项目,这些项目不仅涉及的EDA工具软件类型较多、技术领域较宽、知识涉猎密集且针对性强,而且自主创新意识的启示性好。与书中的示例相同,所有的实验项目都通过了EDA工具的仿真测试及FPGA平台的硬件验证。每一个实验项目除给出详细的实验目的、实验原理和实验报告要求之外,都有2~5个子项目或子任务。它们通常分为以下几个层次。第一个层次的实验是与该章某个阐述内容相关的验证性实验,并通常提供详细的且被验证的设计源程序和实验方法。学生只需将提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上实现即可。这可使学生有一个初步的感性认识,也有利于提高实验的效率。第二个层次的实验任务是要求在上一实验基础上做一些改进和发挥。第三个层次的实验通常是提出自主设计的要求和任务。第四、第五个层次的实验则是在仅给出一些提示的情况下提出自主创新性设计的要求。因此,教师可以根据学时数、教学实验的要求以及不同的学生对象,布置不同层次含不同任务的实验项目。
2.注重教学选材的灵活性和完整性相结合
本教材的结构特点决定了授课学时数十分灵活,即可长可短,应视具体的专业特点、课程定位及学习者的前期教育程度等因素而定,在30~54学时。考虑到EDA技术课程的特质和本教材的特色,具体教学可以是粗放型的,其中多数内容,特别是实践项目,都可放手让学生更多地自己去查阅资料、提出问题、解决问题,乃至创新与创造;而授课教师只需做一个启蒙者、引导者、鼓励者和学生成果的检验者与评判者。授课的过程多数情况只需点到为止,大可不必拘泥于细节、面面俱到地讲解。但有一个原则,即安排的实验学时数应多多益善。
事实上,任何一门课程的学时数总是有限的,为了有效增加学生的实践和自主设计的时间,可以借鉴清华大学的一项教改措施,即其电子系本科生从一入学就每人获得一块FPGA实验开发板,可从本科一年级用到研究生毕业。这是因为EDA技术本身就是一个可把全部实验和设计带回家的课程。
杭州电子科技大学对于这门课程也基本采用了这一措施,即每个上EDA课程的同学都可借出一套EDA实验板,使他们能利用自己的计算机在课余时间完成自主设计项目,强化学习效果。实践表明,这种安排使实验课时得到有效延长,教学成效自然显著。
我们建议积极鼓励学生利用课余时间学完本书的全部内容,掌握本书介绍的所有EDA工具软件和相关开发手段,并尽可能多地完成本书配置的实验和设计任务,甚至能参考教材中的要求,安排相关的创新设计竞赛,进一步调动学生的学习积极性和主动性,并强化他们的动手能力和自主创新能力。
还有一个问题有必要在此探讨,即自主创新能力的培养尽管重要,但对其有效提高绝非一朝一夕之事。多年的教学实践告诉我们,针对这一问题的教改必须从两方面入手,一是教学内容,二是设课时间。二者密切联系,不可偏废。
前者主要指建立一个内在相关性好、设课时间灵活且易于将创新能力培养寓于知识传播之中的课程体系。
后者主要指在课程安排的时段上,将这一体系的课程尽可能地提前。这一举措是自主创新能力培养成功的关键,因为我们不可能到了本科三、四年级才去关注能力培养,并期待奇迹发生,更不可能指望一两门课程就能解决问题,尤其是以卓越工程师为培养目标的工科高等教育,自主创新能力的培养本身就是一项教学双方必须投入密集实践和探索的创新活动。杭州电子科技大学的EDA技术国家级精品课程正是针对这一教改目标建立的课程体系,而“数字电子技术基础”是这一体系的组成部分和先导课程,它的提前设课是整个课程体系提前的必要条件。
通过数年的试点教学实践和经验总结,现已成功在部分本科学生中将数电课程的设课时间从原来的第四或第五学期提前到了第二学期。而这一体系的其他相关课程,如EDA技术、单片机(相关教材是清华大学出版社出版的《嵌入式系统设计—基于Cortex-M处理器与FreeRTOS构建》,曾毓、黄继业编著)、SoC片上系统、计算机接口、嵌入式操作系统和DSP等也相应提前,从而使学生在本科二年级时就具备了培养工程实践和自主开发能力的条件。
另外有一个问题须在此说明,即针对本教材中的实验和实践项目所能提供的演示示例原设计文件的问题。本书中多数实验都能提供经硬件验证调试好的演示示例原设计,目的是使读者能顺利完成实验设计和验证;有的示例的设计目的是希望能启发或引导读者完成更有创意的设计,其中一些示例尽管看上去颇有创意,但都不能说是最佳或最终结果,这给读者留有许多改进和发挥的余地。此外,还有少数示例无法提供源代码(只能提供演示文件),是考虑到本书笔者以外的设计者的著作权,但这些示例仍能在设计的可行性、创意和创新方面给读者以宝贵的启示。
与第3版教材相比,第4版修订主要体现在如下几个方面。① EDA开发软件。主要使用Quartus Prime Standard 18.1版本,但也有使用Quartus Ⅱ 13.1版本和 Quartus Prime Standard 16.1版本的情况,与18.1版本差异较小,不进行单独说明版本。仍旧保留13.1版本的原因是这个版本支持器件较多。② 全新引入了Vivado软件。③ 删除了第3版中最后讲述DSP Builder的两章,去除的原因主要是考虑篇幅因素。④ 在CPU章节增加了少量32位RISC CPU的内容。⑤ 由于EDA技术与FPGA发展较快,该版次对全书多个部分进行了小幅度更新。
第4版除介绍Vivado软件的内容外,其余内容与已出版的《EDA技术与Verilog HDL(英文版)》(清华大学出版社,2019年)基本可以一一对应,方便国内教师开展双语教学,也方便无缝对接留学生教学。
为了尽可能降低本书的成本和售价,本书不再配置光盘。与本书相关的其他资料,包括本书的配套课件、实验示例源程序资料、相关设计项目的参考资料和附录中提到的.mif文件编辑生成软件、HX1006A ProjectBuilder软件等文件资料都可免费获取。此外,对于一些与本教材相关的工具软件,包括Quartus、Vivado、ModelSim等EDA软件的安装、使用等问题的咨询(包括教学课件与实验课件,实验系统的FPGA引脚查询及对照表等的免费索取,也同时可以协助读者向Intel-Altera申请评估用的license)可发送邮件至sunliangzhu@126.com;与编写者探讨EDA技术教学和实践可发送邮件至hjynet@163.com;也可以直接与出版社联系(主要是索取教学课件等)。
与本书的Verilog HDL内容相对应的VHDL中文版教材是清华大学出版社出版的《EDA技术与VHDL》(第6版)。

编 者

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.