登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

『簡體書』数字逻辑电路设计(第4版·微课视频版)

書城自編碼: 3782678
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 鲍可进、赵念强、赵不贿
國際書號(ISBN): 9787302605751
出版社: 清华大学出版社
出版日期: 2022-08-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 384

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
乾隆的百宝箱:清宫宝藏与京城时尚
《 乾隆的百宝箱:清宫宝藏与京城时尚 》

售價:NT$ 614.0
第二琵琶协奏曲
《 第二琵琶协奏曲 》

售價:NT$ 255.0
工程机械手册——农林牧渔机械
《 工程机械手册——农林牧渔机械 》

售價:NT$ 2070.0
夜幕之下(5、6套装)
《 夜幕之下(5、6套装) 》

售價:NT$ 572.0
国际艺术品市场A-Z:风俗、习惯和惯例的基本指南
《 国际艺术品市场A-Z:风俗、习惯和惯例的基本指南 》

售價:NT$ 354.0
忧伤的群岛:查戈斯人的流散与抗争
《 忧伤的群岛:查戈斯人的流散与抗争 》

售價:NT$ 411.0
现代自建小别墅VR效果图 实用的自建小别墅指南 帮你解决设计难题
《 现代自建小别墅VR效果图 实用的自建小别墅指南 帮你解决设计难题 》

售價:NT$ 707.0
语义学(下卷)(语言学及应用语言学名著译丛)
《 语义学(下卷)(语言学及应用语言学名著译丛) 》

售價:NT$ 707.0

建議一齊購買:

+

NT$ 275
《 无机化学 》
+

NT$ 528
《 流体力学(第二版) 》
+

NT$ 315
《 土壤地理学(第二版) 》
+

NT$ 458
《 方剂学·全国中医药行业高等教育“十四五”规划教材 》
+

NT$ 353
《 动物营养与饲料配方设计(高等职业教育“十三五”规划教材) 》
+

NT$ 336
《 人畜共患病学 》
編輯推薦:
国家级十二五规划教材及江苏省高校重点教材。本书采用VHDL描述电路的设计,每章均附有小结、习题与思考题,大部分内容嵌入了微课视频,并提供全部内容的PPT课件,配套实验教材。
內容簡介:
本书从数字电路的基础知识出发,介绍数制和编码、门电路、逻辑代数、组合逻辑、触发器、时序逻辑、硬件描述语言(VHDL)、可编程器件(PLD、CPLD、FPGA)、在系统可编程技术(ISP)及EDA技术的设计思想等内容。本书采用VHDL描述电路的设计,每章均附有小结、习题与思考题,大部分内容嵌入了微课视频,并提供全部内容的PPT课件。 本书可作为高等院校计算机、通信、电子信息、自动化等专业“数字逻辑”课程的教材,也可作为相关技术人员的参考书。
目錄
第1章数字系统与编码
1.1数字系统中的进位制
1.1.1数制
1.1.2数制转换
1.2数字系统中的编码
1.2.1带符号数的代码表示
1.2.2十进制数的二进制编码
1.2.3可靠性编码
1.2.4字符编码
1.3小结
1.4习题与思考题
第2章门电路
2.1数字信号基础
2.1.1脉冲信号
2.1.2逻辑电平与正、负逻辑
2.2半导体器件的开关特性
2.2.1二极管的开关特性
2.2.2三极管的开关特性
2.2.3MOS管的开关特性
2.3基本逻辑门电路
2.3.1与门、或门和非门
2.3.2复合门
2.3.3三态门与传输门
2.4TTL集成门电路
2.4.1数字集成电路的分类
2.4.2TTL与非门
2.4.3集电极开路的与非门
2.4.4TTL门电路的使用注意事项
2.5CMOS集成门电路
2.5.1CMOS非门
2.5.2CMOS与非门
2.5.3CMOS或非门
2.5.4CMOS三态门
2.5.5CMOS门电路的特点与使用注意事项
2.6TTL电路与CMOS电路之间的接口电路
2.6.1三极管组成的接口电路
2.6.2其他接口电路
2.7小结
2.8习题与思考题




目录



数字逻辑电路设计(第4版·微课视频版)

第3章组合逻辑的分析与设计
3.1逻辑代数基础
3.1.1逻辑变量及基本逻辑运算
3.1.2逻辑代数的基本公式、定理与规则
3.1.3逻辑函数及其表达式
3.2逻辑函数的化简
3.2.1代数化简法
3.2.2卡诺图化简法
3.2.3列表化简法
3.2.4逻辑函数化简中的两个实际问题
3.3组合逻辑电路的分析
3.3.1组合逻辑电路分析的一般方法
3.3.2组合逻辑电路分析举例
3.4组合逻辑电路的设计
3.4.1组合逻辑电路设计的一般方法
3.4.2组合逻辑电路设计中应考虑的问题
3.5VHDL描述基础
3.5.1VHDL概述
3.5.2VHDL描述的基本结构
3.5.3VHDL的标识符和保留字
3.6组合逻辑电路设计举例
3.6.1半加器和全加器的设计
3.6.2BCD码编码器和七段显示译码器的设计
3.6.3代码转换器的设计
3.7组合逻辑电路中的竞争与险象
3.7.1竞争与险象的产生
3.7.2险象的分类
3.7.3险象的判断
3.7.4险象的消除
3.8小结
3.9习题与思考题
第4章触发器
4.1双稳态触发器
4.1.1RS触发器
4.1.2JK触发器
4.1.3D触发器
4.1.4T触发器
4.1.5触发器的时间参数
4.2单稳态触发器
4.3多谐振荡器
4.3.1RC环形多谐振荡器
4.3.2石英晶体构成的多谐振荡器
4.4施密特触发器
4.5小结
4.6习题与思考题
第5章时序逻辑的分析与设计
5.1时序逻辑电路的结构与类型
5.1.1Mealy型电路
5.1.2Moore型电路
5.2同步时序逻辑电路的分析
5.2.1同步时序逻辑电路的分析方法
5.2.2常用同步时序逻辑电路
5.3同步时序逻辑电路的设计
5.3.1建立原始状态表
5.3.2状态表的化简
5.3.3状态分配
5.3.4求激励函数和输出函数
5.4VHDL时序电路的设计特点
5.4.1电路的时钟控制
5.4.2状态图的VHDL描述
5.5同步时序逻辑电路设计举例
5.6异步时序逻辑电路
5.6.1脉冲异步时序逻辑电路的分析
5.6.2脉冲异步时序逻辑电路的设计
5.7小结
5.8习题与思考题
第6章集成电路的逻辑设计与可编程逻辑器件
6.1常用中规模通用集成电路
6.1.1二进制并行加法器
6.1.2译码器和编码器
6.1.3多路选择器和多路分配器
6.1.4数值比较器
6.1.5奇偶发生/校验器
6.2半导体存储器
6.2.1概述
6.2.2随机读写存储器
6.2.3只读存储器
6.3可编程逻辑器件
6.3.1PLD概述
6.3.2可编程只读存储器
6.3.3可编程逻辑阵列
6.3.4可编程阵列逻辑
6.3.5通用阵列逻辑
6.4小结
6.5习题与思考题
第7章高密度可编程逻辑器件
7.1在系统可编程技术
7.2ISP器件的结构与原理
7.3在系统编程原理
7.3.1ISP器件编程元件的物理布局
7.3.2ISP编程接口
7.3.3ISP器件的编程方式
7.4FPGA器件
7.4.1FPGA的基本结构
7.4.2FPGA开发流程
7.4.3Altera低成本FPGA
7.4.4Xilinx XC4000系列FPGA
7.4.5XC4000系列FPGA的配置模式
7.5基于可编程逻辑器件的逻辑电路设计实验介绍
7.5.1实验环境
7.5.2基础实验举例
7.5.3多功能数字钟的设计实例

7.6小结
7.7习题与思考题
附录AVHDL基本语句及设计实例
A.1顺序语句
A.2并行语句
A.3属性描述与定义语句
A.4触发器的VHDL描述
A.5CPU基本部件设计举例
参考文献
內容試閱
“数字逻辑电路设计”是电子信息类专业必修的专业基础课,主要介绍数字系统的基础知识及讨论数字系统的分析与设计的基本理论和方法。
进入21世纪以来,随着信息技术的飞速发展,电子技术面临着严峻的挑战。电子器件从传统的小规模集成芯片到中大规模集成芯片,从复杂可编程器件到高密度可编程器件,其设计方法已从经典的手工设计发展到电子设计自动化(EDA)。该方法使得几乎硬件电子电路的所有设计过程都可以通过计算机来完成,大大缩短了专用集成电路的设计周期,使得生产厂商的产品能够迅速上市,提高产品的竞争力。
EDA技术是20世纪90年代以后发展起来的,它打破了传统的由固定集成芯片组成数字系统的模式,对数字系统设计带来了革命性的变化。对电子信息类专业的学生来说,掌握此项新技术十分必要。所以,本书中除保留了数字逻辑最基本的内容外,增加了对硬件描述语言(VHDL)的介绍,在介绍逻辑电路传统设计方法的同时,还插入了VHDL对电路的描述,为学生掌握EDA技术打下良好的基础。本书有相当大的篇幅介绍了近年来发展迅速的高密度可编程逻辑器件(HDPLD),讲述了以美国Lattice公司的在系统可编程芯片(ISP芯片)为模型的在系统可编程技术,同时也介绍了Altera、Xilinx公司FPGA芯片的基本结构及工作原理。
本书第4版对第3版的内容做了进一步的优化,对主要内容嵌入了微课视频,以便于学生自学和消化课堂的教学内容,还增加了实验中常用工具软件的使用方法和基本实验项目的介绍,并配有视频讲解,结合配套的《数字逻辑电路设计学习指导与实验教程》,使学生更易进入学用结合的学习过程。全书内容共分7章,并提供PPT课件,按循序渐进的原则,第1~5章主要讲解数字电路的基础知识及逻辑电路设计的基本方法,并介绍VHDL的描述方法,这是学习数字逻辑电路课程所必需的知识,也是学习可编程逻辑器件及EDA技术的基础。在此基础上,第6章、第7章主要讨论大规模集成电路、可编程逻辑器件(PLD)、在系统可编程技术(ISP)、现场可编程门阵列(FPGA)器件,重点放在讲解这些器件的基本结构和利用它们设计逻辑电路及系统的基本原理与方法。为方便读者学习,每章都附有小结、习题与思考题。全部内容建议安排50~60学时讲授,并配以一定学时的实验课及课程设计,以加深学生对基本理论的理解和对新技术的掌握。本书的相关配套资源可从清华大学出版社官方网站下载。
本书由鲍可进负责统稿。第1章和第5章由鲍可进编写; 第3章由鲍可进、赵念强编写; 第6章由赵念强编写; 第2章和第4章由赵不贿编写; 第7章由鲍可进、袁晓云、曾宇编写; 附录由袁小云、赵念强、鲍可进负责整理; 微课视频由赵念强、鲍可进、袁晓云、曾宇制作。
由于编者水平有限,加之时间较仓促,书中难免有一些缺点和错误,希望广大读者批评指正。

编者2022年1月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.