登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

『簡體書』Verilog数字系统设计与FPGA应用(第2版)(MOOC版)

書城自編碼: 3782209
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 赵倩、叶波、邵洁、周多、林丽萍
國際書號(ISBN): 9787302596608
出版社: 清华大学出版社
出版日期: 2022-08-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 380

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
骰子世界
《 骰子世界 》

售價:NT$ 259.0
乾隆的百宝箱:清宫宝藏与京城时尚
《 乾隆的百宝箱:清宫宝藏与京城时尚 》

售價:NT$ 614.0
第二琵琶协奏曲
《 第二琵琶协奏曲 》

售價:NT$ 255.0
工程机械手册——农林牧渔机械
《 工程机械手册——农林牧渔机械 》

售價:NT$ 2070.0
夜幕之下(5、6套装)
《 夜幕之下(5、6套装) 》

售價:NT$ 572.0
国际艺术品市场A-Z:风俗、习惯和惯例的基本指南
《 国际艺术品市场A-Z:风俗、习惯和惯例的基本指南 》

售價:NT$ 354.0
忧伤的群岛:查戈斯人的流散与抗争
《 忧伤的群岛:查戈斯人的流散与抗争 》

售價:NT$ 411.0
现代自建小别墅VR效果图 实用的自建小别墅指南 帮你解决设计难题
《 现代自建小别墅VR效果图 实用的自建小别墅指南 帮你解决设计难题 》

售價:NT$ 707.0

建議一齊購買:

+

NT$ 290
《 中医基础理论·全国中医药行业高等教育“十三五”规划教材 》
+

NT$ 353
《 高等代数(上册) 》
+

NT$ 295
《 数学分析(第五版)(下册) 》
+

NT$ 273
《 企业战略管理(第三版) 》
+

NT$ 274
《 统计学(第8版) 》
+

NT$ 287
《 医用化学(第二版) 》
編輯推薦:
实例丰富,深入浅出,主要介绍当前主流的Altera FPGA/CPLD器件的应用及可编程片上系统(SoPC),Verilog数字系统设计的前端设计流程:从Verilog HDL语言、HDL编码指南、逻辑验证到测试平台等。
內容簡介:
本书按照Verilog数字系统设计的前端设计流程编写,从Verilog HDL、HDL编码指南、逻辑验证到测试平台,在此基础上对当前主流Altera FPGA/CPLD器件的应用进行介绍,并对片上可编程系统进行深入探讨。本书内容由浅入深、循序渐进,既容易入门,又能深入到集成电路设计领域。
本书可作为电子、计算机等信息类专业高年级本科生及研究生的教材,也可以作为集成电路设计和FPGA开发工程师的技术参考书。
目錄
第1章绪论
1.1集成电路设计技术的发展
1.2Verilog HDL和VHDL
1.2.1Verilog HDL和VHDL的发展历史
1.2.2Verilog HDL和VHDL的比较
1.3FPGA/CPLD简介
1.3.1可编程逻辑器件的发展历史
1.3.2PAL/GAL  
1.3.3CPLD
1.3.4FPGA
1.3.5CPLD与FPGA的区别
1.3.6SOPC
1.4IP核
习题1
第2章Verilog HDL 基础
2.1Verilog HDL的基本单元——模块
2.1.1简单Verilog HDL程序实例
2.1.2Verilog HDL程序的基本结构
2.1.3逻辑功能描述
2.2Verilog HDL基本语法
2.2.1词法规定
2.2.2常量及其表示
2.2.3变量的数据类型
2.3运算符及表达式
2.3.1算术运算符
2.3.2位运算符
2.3.3缩位运算符
2.3.4关系运算符
2.3.5等式运算符
2.3.6逻辑运算符
2.3.7移位运算符
2.3.8位拼接运算符
2.3.9条件运算符
2.3.10优先级别
2.4过程语句
2.4.1initial语句
2.4.2always语句
2.5块语句


2.5.1串行块begin…end
2.5.2并行块fork…join
2.6赋值语句
2.6.1连续赋值
2.6.2过程赋值
2.7条件语句
2.7.1if…else语句
2.7.2case语句
2.7.3条件的描述完备性
2.8循环语句
2.8.1forever语句
2.8.2repeat语句
2.8.3while语句
2.8.4for语句
2.8.5disable 语句
2.9task和function说明语句
2.9.1task说明语句
2.9.2function说明语句
2.9.3task和function说明语句的不同点
2.10编译向导
2.10.1宏定义语句`define
2.10.2文件包含语句`include
2.10.3条件编译命令`ifdef、`else、`endif
2.10.4时间尺度命令`timescale
2.11Verilog HDL设计举例
2.11.1组合逻辑电路描述
2.11.2时序逻辑电路
2.12小结
习题2
第3章Verilog HDL层次化描述
3.1设计方法学
3.2数据流建模描述方式
3.3行为建模描述方式
3.4结构化建模描述方式
3.4.1实例化已有模块
3.4.2实例化基本门级和开关级元件
3.4.3用户定义的原语
3.5混合设计描述
3.6用Verilog HDL 建模实现自顶向下设计实例
3.7小结
习题3
第4章Verilog有限状态机设计
4.1有限状态机
4.1.1FSM的类型
4.1.2FSM的基本结构
4.1.3标准的四状态Mealy机和Moore机代码描述
4.1.4使用FSM设计数字系统的优点
4.2FSM的Verilog HDL描述方法
4.2.1设计FSM的基本原则
4.2.2FSM的设计步骤
4.2.3状态图和算法状态机图
4.2.4FSM编码方式和非法状态处理办法
4.2.5FSM的描述方法
4.3FSM描述方法实例
4.3.11001序列信号检测器设计
4.3.2简单十字路口交通信号控制器设计
4.3.3复杂交通灯信号控制器设计
4.4小结
习题4
第5章Verilog代码规范和代码风格
5.1Verilog代码规范
5.1.1命名规范
5.1.2格式规范
5.1.3RTL可综合代码编写规范
5.1.4常见错误
5.2Verilog代码风格
5.2.1基本原则
5.2.2设计技巧
5.3小结
习题5
第6章逻辑验证与测试平台
6.1测试平台的基本概念
6.1.1什么是测试平台
6.1.2测试平台模板
6.2仿真激励的语法
6.2.1initial语句和always语句施加激励
6.2.2时钟信号的产生
6.2.3复位信号
6.2.4并行激励
6.2.5循环激励
6.2.6数组激励
6.2.7强制激励
6.2.8包含文件
6.2.9文件的读写
6.2.10矢量采样
6.2.11矢量回放
6.2.12MATLAB
6.3系统函数和系统任务
6.3.1$display、$write和$strobe
6.3.2系统任务$monitor
6.3.3$fopen、$fclose、$fdisplay和$fmonitor
6.3.4系统任务$readmemb和$readmemh
6.3.5系统任务$finish和$stop
6.3.6系统任务$random
6.3.7系统函数$time和$realtime
6.3.8值变转储文件
6.4基础实例
6.5应用实例
6.5.1小型FIFO设计与仿真
6.5.2自动售货机设计与仿真
6.5.3洗衣机控制器设计与仿真
6.6小结
习题6
第7章逻辑综合与静态时序分析
7.1逻辑综合概述
7.1.1什么是逻辑综合
7.1.2逻辑综合的特点
7.1.3逻辑综合的要求
7.2逻辑综合流程和语法
7.3逻辑综合实例
7.3.1组合电路的综合(4位ALU的层次化综合)
7.3.2时序电路的综合(数字跑表的综合)
7.4门级网表的验证
7.4.1ALU网表的门级仿真
7.4.2跑表网表的门级仿真
7.5形式验证
7.6物理综合
7.7静态时序分析
7.8小结
习题7
第8章Altera FPGA/CPLD器件及编程配置
8.1可编程器件的历史和发展趋势
8.2FPGA/CPLD器件结构
8.2.1CPLD的基本结构
8.2.2FPGA的基本结构
8.2.3FPGA/CPLD的器件选型
8.3Altera系列FPGA/CPLD器件
8.3.1MAX各系列器件
8.3.2Cyclone各系列器件
8.3.3Arria各系列器件
8.3.4Stratix各系列器件
8.4编程配置
8.4.1编程硬件
8.4.2编程配置策略
8.4.3下载电缆驱动程序安装指导
8.4.4Quartus Ⅱ 9.0下的编程下载
8.5小结
习题8
第9章数字电路与系统的设计实例
9.1三层电梯控制器设计
9.1.1模块划分
9.1.2电梯控制模块
9.1.3显示转换模块
9.1.4分频模块
9.1.5系统电路图
9.2出租车计价器设计
9.2.1系统分析和模块划分
9.2.2速度调节模块
9.2.3里程显示模块
9.2.4金额显示模块
9.2.5系统电路图
9.3基于FPGA的电子点菜系统设计
9.3.1系统分析和模块划分
9.3.2输入控制模块
9.3.3LCD显示模块
9.3.4菜单存储模块
9.3.5总价计算模块
9.3.6LED显示模块
9.3.7系统电路图
9.4基于TRDB_LCM的液晶显示模块的应用
9.4.1TRDB_LCM显示屏简介
9.4.2TRDB_LCM显示屏的主要参数
9.4.3模块划分
9.4.4彩条显示模块
9.4.5LCM配置模块
9.4.6I2S控制器模块
9.4.7LCM锁相环
9.5维纳滤波器设计
9.5.1维纳滤波算法原理
9.5.2模块划分
9.5.3滤波窗口模块
9.5.4维纳滤波算法模块
9.5.5联合测试平台
9.5.6系统电路图
习题9
第10章可编程片上系统
10.1SOPC简介
10.1.1SOPC开发流程
10.1.2Nios Ⅱ处理器简介
10.1.3Nios Ⅱ外设接口
10.1.4Avalon总线
10.2SOPC开发实例
10.3SOPC设计的常见问题及解决方法
习题10
附录A常用EDA软件使用指南
A.1Quartus Ⅱ 9.1使用指南
A.1.1Quartus Ⅱ文体输入法设计电路实例
A.1.2混合输入法完成层次化设计实例
A.1.3嵌入式锁相环宏功能模块使用实例
A.2ModelSim使用指南
附录BDE2介绍
参考文献
內容試閱
随着半导体技术的不断发展和进步,数字系统的设计方法发生了很大的变化,由中小规模集成度的标准通用集成电路,向用户定制的专用集成电路(ASIC)过渡。现代较复杂的数字系统,若采用SSI/MSI器件来设计,不仅要占用很大的物理空间,而且功耗较大,可靠性差; 采用LSI/VLSI器件的专用电路设计,则具有相当高的系统集成度和相对小的功耗,可靠性强,但开发周期长,开发费用高,投资强度大,具有一定的风险性。基于EDA技术的FPGA芯片设计正在成为数字系统设计的主流。FPGA技术因其功能强大、开发工程投资小、周期短、可反复编程、保密性能好、开发工具智能化等特点,在电子、通信等领域得到了广泛的应用,成为数字系统设计领域中的重要器件之一。新一代的FPGA甚至集成了中央处理器(CPU)或数字处理器(DSP)内核,在一片FPGA上进行软硬件协调设计,为实现片上可编程系统(System On Programmable Chip,SOPC)提供了强大的硬件支持。
本教材按照Verilog数字系统设计的前端设计流程编写,从Verilog HDL、HDL编码指南、逻辑验证到测试平台等,这部分内容也是FPGA应用所必需的,在此基础上对当前主流的Altera FPGA/CPLD器件的应用进行了详细的介绍,最后对可编程片上系统(SOPC)也进行了深入的探讨。为便于学生练习,每章都提供了大量的实例,并且有专门的章节介绍数字系统设计实例。教材最后的附录是常用的EDA软件的使用指南。整本教材显得有血有肉,即使没有太多经验的读者,也很容易上手。
本版是在前一版本的基础上,经过改革实验、总结提高、修改增删而成的。更新章节说明如下。
第1章绪论部分,根据集成电路发展修改了部分背景知识。
第2章精选内容,推陈出新,并针对关键语法知识引入从简单到复杂的例程,读者可以按照这些例题实际操作。
第3章从层次化设计的角度,引入不同的建模方式的解释,力求做到通俗易懂、适教适学。
第4章围绕如何实现Verilog有限状态机设计,介绍FSM的基本知识,以同一实例的不同实现方式对比说明一段式、两段式及三段式的优缺点。最后给出根据状态机图和算法状态机图(ASM图)实现Verilog有限状态机设计的综合实例。
第5章原版本只介绍了Verilog代码规范,而代码规范之后的一个境界是优良的代码风格,代码风格不同于代码规范,其重点强调逻辑上的风格,同样的功能使用不同的代码风格,代码综合面积可能是几倍的关系。增加了基于数字系统设计的一些基本原则和设计技巧的代码风格,这些代码风格不仅适用于ASIC设计,同时也适用于FPGA设计。
第6章在原版基础实例上,增加了三个应用实例,展示对复杂设计的测试代码的编写方法,而不是停留在课程知识认知层。
附录A 介绍常用EDA软件使用指南,以文本输入法设计电路实例、混合输入法完成层次化设计实例及嵌入式锁相环宏功能模块使用实例为例介绍Quartus Ⅱ软件的使用。
本书中全部设计实例在Quartus Ⅱ 9.1或ModelSim 10.1a软件环境下编译通过,授课教师在教学过程中酌情考虑取舍。
本书由上海电力大学“FPGA应用开发”课程教学团队编写,该课程为上海高校市级精品课程和上海高等学校一流本科课程。本书的教学视频可以在“智慧树”网站搜索“FPGA应用开发”课程进行观看。
本书由赵倩担任主编,第2章由赵倩编写,第1、7章由叶波编写,第3章由邵洁编写,第4、5章由赵倩和周多共同编写,第6章由叶波和赵倩共同编写,第8章由周多编写,第9章由赵倩、林丽萍、周多共同编写,第10章由林丽萍编写,附录由赵倩和林丽萍共同编写。全书由赵倩负责策划、组织整理和定稿。
我们真诚地希望读者对书中的疏漏和错误给予批评指正。
编者
2022年2月于上海

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.