登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

『簡體書』EDA技术及应用(第2版)

書城自編碼: 3699555
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 张瑾、李泽光、韩睿、孙芹芝
國際書號(ISBN): 9787302592419
出版社: 清华大学出版社
出版日期: 2021-11-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 330

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
饮食的迷思:关于营养、健康和遗传的科学真相(2024修订版)
《 饮食的迷思:关于营养、健康和遗传的科学真相(2024修订版) 》

售價:NT$ 359.0
未来科技大爆炸
《 未来科技大爆炸 》

售價:NT$ 311.0
海外中国研究·近代中国的知识分子与文明
《 海外中国研究·近代中国的知识分子与文明 》

售價:NT$ 510.0
东方帝国的营造 : 秦汉王朝政治史
《 东方帝国的营造 : 秦汉王朝政治史 》

售價:NT$ 510.0
漫谈数字化转型从底层逻辑到实践应用
《 漫谈数字化转型从底层逻辑到实践应用 》

售價:NT$ 354.0
谢谢你来到我的生命:狗狗带给我们的治愈与改变
《 谢谢你来到我的生命:狗狗带给我们的治愈与改变 》

售價:NT$ 234.0
情感觉醒:揭秘亲密关系背后的真相
《 情感觉醒:揭秘亲密关系背后的真相 》

售價:NT$ 311.0
诸葛亮
《 诸葛亮 》

售價:NT$ 359.0

建議一齊購買:

+

NT$ 312
《 大学生心理健康 》
+

NT$ 270
《 健康概论 》
+

NT$ 572
《 病理学(第9版/本科临床/配增值) 》
+

NT$ 408
《 森林生态系统理论与应用(全国林业专业硕士研究生系列教材) 》
+

NT$ 536
《 行政法与行政诉讼法(第七版) 》
+

NT$ 514
《 耳鼻咽喉头颈外科学(第9版/本科临床/配增值) 》
編輯推薦:
本书以实现电子系统设计为目标,介绍了电子系统设计中的主流技术——EDA技术。读者通过本书的学习,能够掌握EDA技术的基本知识、基于EDA技术的电子系统设计的流程、开发工具与设计方法。
內容簡介:
本书系统地介绍电子系统设计的主流技术——EDA技术。全书共8章,分别为概述、CPLD/FPGA结构与工作原理、VHDL结构与要素、QuartusⅡ应用指南、VHDL基本语句、VHDL设计、EDA技术应用实例、EDA技术设计实验项目。本书旨在使读者掌握运用EDA技术进行电子系统设计的方法,形成并提升EDA设计能力。 本书安排了大量例题、习题以及应用实例,每个设计都提供了完整的程序代码,程序均经过仿真验证。第7章给出5个大型EDA技术应用实例以及电子系统设计常用的码制转换设计示例,所有设计均完成硬件电路并且测试成功。 本书可作为高等院校计算机类、电子信息类、自动化类以及相关专业的本科或研究生EDA课程教材,也可作为教师以及广大科技工作者的参考用书。
內容試閱
近年来,随着EDA技术的快速发展和日臻完善,电子信息类高新技术项目的开发与设计越来越广泛地采用EDA技术。EDA技术中,软件设计方案落实到硬件系统的环节由专用工具自动完成,这使设计人员从繁重的手工设计中解脱出来,得以将更多精力投入设计优化、性能提高方面。在基于EDA技术的产品设计中,可以在设计过程中的多个阶段进行仿真,现场编程修改、升级系统设计,在完成硬件系统后,还能对系统中的目标器件进行边界扫描测试,进一步确认设计的正确性,大大降低了设计成本,缩短了设计周期。另外,由于承载设计方案的核心器件是大规模可编程逻辑器件,其高密度、低功耗、高速稳定的特性造就了以此为核心的电子系统在体积、功耗、速度、稳定性方面优越的性能。同时,EDA技术采用的“自顶向下”的设计思想和方法使得设计过程中不必因为某个层级出现了问题而将底层的设计全部推翻重做,这样将使复杂设计的成功率更高。
EDA技术的巨大优势与广泛应用使得越来越多的人希望迅速掌握EDA设计的方法和应用技巧。目前各高校电子信息类专业普遍开设EDA课程,旨在培养EDA技术方面的专业人才,然而高校EDA课程大都存在信息量大与学时少的矛盾。本书结合作者多年的教学与科研经验,遵循学生的认知规律,摒弃了在内容阐述上片面追求面面俱到的做法,对EDA技术的内容进行了精简,对内容的顺序安排做出了调整,力求重点突出,言简意赅,便于初学者在较短时间内把握EDA设计要领。
本书的总体编写思路是,保留完成设计所必需的基础、常用、效可行的设计方法,删减操作困难、使用烦琐、语义晦涩的语句和流程,使初学者有信心,易上手。在内容组织上做了如下安排: 先介绍EDA技术的概况,使读者对EDA技术有基本了解; 然后简要介绍EDA设计的重要载体CPLD/FPGA的内部结构和工作原理,使学习者能够基于CPLD/FPGA的特性进行有效设计; 在介绍了编程语言和编程规则后,介绍EDA软件工具操作办法,至此,学习者已经能够独立完成一个简单的设计。在此基础上,介绍VHDL语法与设计技巧,并通过较为复杂的综合系统设计实例使学习者进一步形成并提升设计能力。
2018年3月,本书第1版正式出版,并被评为辽宁省教材建设奖优秀教材。通过各高校近三年的使用,编者总结经验,勘察错误、调整内容,于2021年2月完成对第1版的修订工作。修订内容包括修改文字描述和部分电路结构图,更换部分例题,增加3.7节“转换函数”,补充EDA应用实例7.5节“数字测频系统设计”和7.6节“码制转换设计”。编者力求通过修订做到叙述更加清晰准确,示例更加贴切实用,应用案例丰富翔实,程序注释完整细致。
本书共8章。第1章概括介绍EDA技术的含义、发展状况、主要内容、设计流程与工具; 第2章介绍大规模可编程器件CPLD和FPGA的结构和工作原理,并对二者的性能特点进行对比; 第3章介绍VHDL的结构与要素,阐述运用VHDL应遵循的基本规则; 第4章介绍EDA开发软件工具Quartus Ⅱ的应用方法; 第5章介绍VHDL常用语句,包括顺序语句和并行语句; 第6章介绍基本电路设计方法以及应用于较复杂电路设计的两种方法——状态机设计法和LPM定制法; 第7章的7.1~7.5节详细介绍5个综合设计项目,包括设计要求、设计方案、源代码、仿真分析与电路工艺结构图; 7.6节介绍了电子系统设计常用的码制转换设计,给出设计原理和方案、源代码和仿真分析。第8章为基于EDA课程的实验项目。

全书由张瑾统稿,第1、4、5、6章以及第7章的7.1~7.4节由张瑾撰写; 第2章和第3章由李泽光撰写; 第8章由韩睿撰写; 第7章7.5~7.6节由孙芹芝撰写。李泽光审校第1、4、5、6章,张瑾审校第2、3章,刘春玲审校第7、8章。在本书编写过程中,戴文季、侯海鹏、李雅丽、许莹红、李学芳、罗钰杰、石娅、袁雯霞、贺海波、张婉琪、李孝、陶晨晨等同学在程序调试与硬件测试中做了大量工作,同时本书的编写也参考了很多专家与学者的文献,在此深表感谢!
由于编者水平有限,书中难免存在不足和疏漏之处,恳请广大读者和同行专家批评指正!
配套资源
 教学课件、应用案例等资源,扫描下方二维码或到清华大学出版社网站本书页面下载。
 微课视频(40个,共130分钟),扫描书中各章节对应位置二维码即可观看。

教学课件


应用案例

编者2021年2月于大连

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.