登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

『簡體書』数字电子技术(第2版)

書城自編碼: 3493619
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 朱幼莲,黄成,李雪梅
國際書號(ISBN): 9787111637837
出版社: 机械工业出版社
出版日期: 2020-04-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 330

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
《你的名字。》美术画集
《 《你的名字。》美术画集 》

售價:NT$ 562.0
北齐书(点校本二十四史修订本  全2册)
《 北齐书(点校本二十四史修订本 全2册) 》

售價:NT$ 770.0
美丽的地球:高山(呈现世界70余座宏伟高山,感受世界的起伏)
《 美丽的地球:高山(呈现世界70余座宏伟高山,感受世界的起伏) 》

售價:NT$ 510.0
下一个风口:新质生产力驱动下的科创投资逻辑
《 下一个风口:新质生产力驱动下的科创投资逻辑 》

售價:NT$ 364.0
傻钱:社交浪潮与散户革命
《 傻钱:社交浪潮与散户革命 》

售價:NT$ 354.0
猎头高情商沟通实务
《 猎头高情商沟通实务 》

售價:NT$ 255.0
桃花夭夭,灼一世芳华:古代蕙心女子的诗情词韵
《 桃花夭夭,灼一世芳华:古代蕙心女子的诗情词韵 》

售價:NT$ 218.0
生活在低处
《 生活在低处 》

售價:NT$ 291.0

內容簡介:
机 械 工 业 出 版 社本书为“十三五”江苏省高等学校重点教材,也是新形态教材。全书以数字电路功能模块及HDL分析设计为教学主线,结合教育部电工电子基础课程相关教学委员会提出的数字电子技术基础教学内容要求,以集成电路的综合运用能力、工程实践能力的培养和提高为目标,与时俱进地反映数字电路的电子设计自动化(EDA)技术。
全书共分9章,包括数字逻辑基础、逻辑门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲波形的产生与整形、半导体存储器和可编程逻辑器件、数模(DA)和模数(AD)转换电路及数字系统设计等。
本书叙述清楚、重点突出、注重工程应用,可作为应用型本科院校电气类、电子信息类、自动化类等专业的基础课教材,也可供高等院校或有关从事电子技术的工程技术人员参考。
目錄
绪论1
第1章数字逻辑基础3
1.1数制3
1.1.1常用的进位制3
1.1.2不同数制间的转换4
1.1.3二进制数的算术运算7
1.2码制9
1.2.1二进制码9
1.2.2二十进制(BCD)码9
1.2.3字符、数字代码11
1.3逻辑运算12
1.3.1基本逻辑运算12
1.3.2几种常用的复合逻辑运算13
1.3.3逻辑运算公式16
1.3.4逻辑运算法则17
1.4逻辑函数及描述方法18
1.4.1逻辑函数的基本概念18
1.4.2表达式描述逻辑函数18
1.4.3真值表描述逻辑函数18
1.4.4逻辑图描述逻辑函数19
1.4.5逻辑函数描述方法间的转换19
1.5公式法化简逻辑函数20
1.6卡诺图法化简逻辑函数21
1.6.1逻辑函数的最小项21
1.6.2逻辑函数的卡诺图表示22
1.6.3卡诺图化简逻辑函数的规则和
步骤24
1.6.4含无关项的逻辑函数化简26
1.7硬件描述语言(HDL)26
1.7.1VHDL简介26
1.7.2VHDL结构27
1.7.3VHDL文字规则30
1.7.4VHDL数据对象30
1.7.5VHDL操作符32
1.7.6VHDL常用语句34
本章小结38
习题38
第2章逻辑门电路41
2.1TTL集成门电路41
2.1.1晶体管的开关特性42
2.1.2TTL与非门电路的结构与工作
原理43
2.1.3TTL与非门电路的主要外部特性及
参数44
2.1.4其他类型的TTL门电路50
2.1.5TTL集成逻辑门电路系列55
2.2其他类型的双极型集成电路56
2.3CMOS集成门电路56
2.3.1MOS管的开关特性56
2.3.2CMOS反相器(非门)的结构与
工作原理57
2.3.3CMOS反相器的主要外部特性及
参数57
2.3.4其他类型的CMOS门电路61
2.3.5CMOS逻辑门电路系列64
2.4逻辑门电路使用中的几个实际问题65
2.4.1集成门电路使用注意事项65
2.4.2门电路之间的接口66
2.4.3门电路带其他负载时的接口67
2.4.4抗干扰措施68
2.5正负逻辑问题69
2.6门级VHDL程序设计69
2.6.1非门的VHDL设计69
2.6.2与门的VHDL设计70
2.6.3异或门的VHDL设计71
2.6.4三态门的VHDL设计71
本章小结72
习题73
第3章组合逻辑电路76
3.1组合逻辑电路的基本概念76
3.2组合逻辑电路的分析77
3.3组合逻辑电路的设计78
3.4常用的组合逻辑器件81
3.4.1加法器及其应用81
3.4.2编码器及其应用87
3.4.3译码器及其应用93
3.4.4数据选择器及其应用102
3.4.5数值比较器及其应用109
目录3.5组合逻辑电路中的竞争冒险113
3.5.1产生竞争、冒险的原因113
*3.5.2竞争冒险的判别方法114
3.5.3消去冒险的方法115
3.6常用组合逻辑器件的VHDL设计116
3.6.1加法器的VHDL设计116
3.6.2译码器的VHDL设计117
3.6.3数据选择器的VHDL设计118
3.6.4数据比较器的VHDL设计119
本章小结120
实践案例121
习题123
第4章触发器128
4.1触发器的基本概念128
4.1.1触发器的基本性质128
4.1.2触发器的现态和次态128
4.1.3触发器的分类129
4.2基本RS触发器129
4.2.1电路结构与工作原理129
4.2.2逻辑功能的描述130
4.3电平触发的触发器131
4.3.1电路结构与工作原理131
4.3.2逻辑功能的描述132
4.4脉冲触发的触发器133
4.4.1电路结构与工作原理133
4.4.2逻辑功能的描述134
4.5边沿触发的触发器135
4.5.1维持阻塞上升沿D触发器135
4.5.2下降沿触发的JK触发器136
4.6集成触发器及功能转换137
4.6.1常用的集成触发器137
4.6.2触发器的功能转换138
4.7触发器的VHDL设计140
4.7.1基本RS触发器的VHDL设计140
4.7.2D触发器的VHDL设计141
4.7.3JK触发器的VHDL设计142
本章小结143
实践案例144
习题145
第5章时序逻辑电路148
5.1时序逻辑电路的基本概念148
5.1.1时序逻辑电路的结构和特点148
5.1.2时序逻辑电路的一般表示方法149
5.1.3时序逻辑电路的分类151
5.2时序逻辑电路的分析151
5.2.1同步时序逻辑电路的分析151
5.2.2异步时序逻辑电路的分析155
5.3计数器及其应用159
5.3.1计数器概述159
5.3.2集成同步二进制加计数器159
5.3.3集成同步十进制加计数器167
5.3.4集成异步十进制加计数器171
5.3.5集成可逆计数器177
5.4寄存器和移位寄存器181
5.4.1寄存器181
5.4.2移位寄存器182
5.5序列信号发生器187
5.5.1计数型序列信号发生器187
5.5.2移存型序列信号发生器189
5.6同步时序逻辑电路设计191
5.6.1同步时序逻辑电路的设计方法191
5.6.2同步时序逻辑电路设计举例192
5.7常用时序逻辑器件的VHDL设计199
5.7.1同步二进制加计数器的VHDL
设计199
5.7.2同步十进制加计数器的VHDL
设计201
5.7.3异步十进制加计数器的VHDL
设计202
5.7.4可逆计数器的VHDL设计204
5.7.5移位寄存器的VHDL设计206
5.7.6有限状态机的VHDL设计207
本章小结211
实践案例212
习题214
第6章脉冲波形的产生与整形219
6.1脉冲信号219
6.1.1脉冲信号的概念219
6.1.2矩形脉冲的获取及其主要参数219
6.2555集成定时器220
6.2.1555定时器的电路组成220
6.2.2555定时器的基本功能221
6.3施密特触发器222
6.3.1555定时器组成的施密特
触发器223
6.3.2门电路组成的施密特触发器224
6.3.3集成施密特触发器225
6.3.4施密特触发器的应用226
6.4单稳态触发器226
6.4.1555定时器组成的单稳态
触发器226
6.4.2门电路组成的单稳态触发器228
6.4.3集成单稳态触发
內容試閱
在第1版教材的基础上,我们以理论够用、内容实用为原则,以注重实践、强化应用为特色修订完善了教材内容,按照“基本概念—器件模块—应用”的顺序组织教材的编写。鉴于电子技术的发展,中小规模集成电路的实际应用逐渐减少,而PLD器件应用越来越广泛,故本教材在强调基本概念和基本模块的同时,引入了硬件描述语言(HDL)和电子设计自动化(EDA),体现了应用型本科院校教学内容具有的基础性、先进性和实用性的特色。
本教材的特色与创新之处:
1.教材内容取舍合理,由浅入深,推陈出新,并以“理实一体化”的形式增加了用HDL和EDA设计电路的方法,适应了新形势下电气类、电子信息类和自动化类等专业的教学要求,突出了“保证基础、注重实践、强化应用”的特色。
2.教材内容的安排顺序遵循从简单到复杂、从特殊到一般的认知规律,按照“基本概念—器件(模块)—应用”的顺序,把数字电路的分析与设计方法融入到器件应用中,进一步满足应用型人才培养的要求。
3.以应用背景的形式引出各章的知识点,提升学生的学习兴趣。主要章节末尾安排了实践案例,从基本概念入手,通过讲解典型器件的应用,结合基本电路的分析和设计方法的介绍,最后以实际系统加以总结和归纳,实现理论与实践的有机结合。
本次教材修订由朱幼莲、张雷、樊寅逸和翟丽芳负责修订第1、3、5章,由黄成、诸一琦和李雪梅负责修订第4、6、7、9章,由陶为戈负责修订第2章,由宋伟负责修订第8章,张雷和诸一琦负责习题修订及全书图表的规范化处理,最后由朱幼莲和黄成负责统稿。
编者“数字电子技术”是电气信息类专业的一门重要的技术基础课,主要介绍各种数字器件、数字电路、数字系统的工作原理和分析与设计方法。作者根据应用型本科院校的特点,依照普通高等教育电气工程与自动化(应用型)“十二五”规划教材的编写指导意见,以“基本理论适度、注重工程应用”为基本原则,在淡化器件内部结构,加强器件实用性的理念下,结合多年的教学实践经验,编写了《数字电子技术》教材。本教材具有以下特点:
1.在教材内容的选取上,以强化应用为特色精选教材内容,在确保理论知识的系统性、完整性的前提下,大幅度减少集成电路内部电路分析的内容,把重点放在外部特性、逻辑功能和器件的应用上。
2.在教材内容的安排顺序上,遵循从简单到复杂、从特殊到一般的认知规律,按照基础性、综合性和先进性的原则安排教材内容。
3.在教材内容的讲解方法上,用通俗易懂的语言,介绍逻辑器件、逻辑分析、逻辑应用,把数字电路的分析与设计方法融入到MSI器件中介绍,强调知识应用能力的培养。
4.以应用背景的形式,引出各章的知识点,以提高学生的学习兴趣。主要章节末尾安排实践案例,从基本概念入门,对基本电路的分析、设计及典型器件的应用等进行介绍,最后以实际系统加以总结和归纳,实现理论与实践的有机结合。
本书共9章,书后附有部分习题参考答案,书中打“*”号的为选学内容,教师可根据具体情况灵活处理,删去这些内容不影响理论体系的完整性。
参加本书编写工作的有朱幼莲(绪论、第3章)、李雪梅(第4章、第6章)、黄成(第7章)、翟丽芳(第5章)、陶为戈(第2章)、宋伟(第8章)、樊寅逸(第1章、第9章)。朱幼莲负责组织和统稿工作。
本书由长春工业大学的贾文超教授担任主审。贾教授认真审阅了本书的全稿,提出了许多宝贵的修改意见。编写过程中,江苏技术师范学院的罗印升、沈琳、钱志文等老师提出了许多宝贵意见,朱昳华、汪颖、刘华等老师为编者提供了大力支持。对此,编者谨向他们致以衷心的感谢!
本书内容若有疏漏和错误,欢迎专家、学者、教师、学生和工程技术人员提出意见和建议,以便今后不断改进。
编者

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.