登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年09月出版新書

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

『簡體書』数字电子与EDA技术

書城自編碼: 3410129
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 秦进平
國際書號(ISBN): 9787512140073
出版社: 北京交通大学出版社
出版日期: 2019-08-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 347

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
一岁一喜欢
《 一岁一喜欢 》

售價:NT$ 223.0
巨浪:生成式AI的史诗与现实
《 巨浪:生成式AI的史诗与现实 》

售價:NT$ 416.0
萧条中的生存智慧与策略(套装2册)
《 萧条中的生存智慧与策略(套装2册) 》

售價:NT$ 519.0
饮食的迷思:关于营养、健康和遗传的科学真相(2024修订版)
《 饮食的迷思:关于营养、健康和遗传的科学真相(2024修订版) 》

售價:NT$ 359.0
未来科技大爆炸
《 未来科技大爆炸 》

售價:NT$ 311.0
海外中国研究·近代中国的知识分子与文明
《 海外中国研究·近代中国的知识分子与文明 》

售價:NT$ 510.0
东方帝国的营造 : 秦汉王朝政治史
《 东方帝国的营造 : 秦汉王朝政治史 》

售價:NT$ 510.0
漫谈数字化转型从底层逻辑到实践应用
《 漫谈数字化转型从底层逻辑到实践应用 》

售價:NT$ 354.0

編輯推薦:
本书是数字电子技术课程和EDA技术课程的有机融合,在涵盖两门课程所有内容同时,实现了课时有效压缩,实践性较强。本书可作为电子信息工程、电气工程及其自动化、测控技术与仪器、通信工程、电子科学与技术、自动化、计算机科学与技术等本科专业数字电子技术、数字逻辑教材,也可以作为EDA技术课程的教材或参考书,也可供工程技术人员参考。本书资源配套丰富,有PPT课件已经课后习题答案。
內容簡介:
本书以数字电子技术基本理论和基本技能为引导,以EDA平台和硬件描述语言为主要设计手段,以全面提升学生的课程应用能力为宗旨,将传统的数字电子技术课程和EDA技术课程深度融合,建立传统数字电子技术设计和现代设计方法相结合的新课程体系。本书涵盖了数字电子技术和EDA技术的内容,实现了课时有效“压缩”,实践性也大大加强。在传统设计的基础上,有效地利用EDA工具加强教学;在电子系统设计中,突出现代设计方法。
目錄
目录
第1章 数字电子系统分析与设计基础
1.1数字信号与数字电路
1.1.1模拟信号与数字信号
1.1.2数字电路与模拟电路的区别及联系
1.2数制及转换
1.2.1十进制
1.2.2二进制
1.2.3十六进制
1.2.4不同进制之间的相互转换
1.3逻辑运算与逻辑代数
1.3.1逻辑运算及其表示方法
1.3.2逻辑代数的定理和定律
1.3.3逻辑函数的代数化简法
1.4逻辑函数的卡诺图化简法
1.4.1逻辑函数的最小项表达式
1.4.2用卡诺图化简逻辑函数
1.5二进制数的算术运算
1.5.1无符号二进制数的算术运算
1.5.2有符号二进制数的表示及加减法运算
1.6二进制编码
1.6.1二-十进制码
1.6.2格雷码
1.6.3 ASCII码
1.7数字系统设计与EDA技术概述
1.7.1数字系统设计及设计方法的发展
1.7.2 EDA技术的含义及主要内容
习题与思考题
第2章 逻辑门电路
2.1高低电平与脉冲信号
2.2基于二极管和三极管的简单逻辑门电路
2.2.1二极管与门和二极管或门电路
2.2.2三极管非门电路
2.3TTL门电路
2.3.1基本TTL与非门的工作原理
2.3.2TTL与非门的技术参数
2.3.3标准TTL集成逻辑门的改进系列及参数
2.4 MOS管门电路
2.4.1 MOS管及其开关特性
2.4.2 CMOS反相器
2.4.3 CMOS管与非门电路和CMOS管或非门电路
2.4.4 CMOS集成逻辑门的种类及参数
2.5三态门及应用
2.5.1三态门的结构及工作原理
2.5.2三态门的应用
2.6 OC门、OD门及应用
2.6.1 OC门的电路结构
2.6.2 OD门的电路结构
2.7逻辑电平接口转换
2.7.1数字逻辑电平
2.7.2 TTL门电路与CMOS管门电路的接口
2.7.3 OC门和OD门的电平转换应用
2.8施密特触发特性与抗干扰设计
习题与思考题
第3章 组合逻辑电路分析、设计及应用
3.1组合逻辑电路的分析
3.2组合逻辑电路的设计
3.2.1单输出组合逻辑电路的设计
3.2.2多输出组合逻辑电路的设计
3.3组合逻辑电路中的竞争冒险
3.3.1产生竞争冒险的原因及判断
3.3.2消除竞争冒险的方法
3.3.3卡诺图在组合逻辑电路竞争冒险中的应用
3.4编码器与译码器
3.4.1编码器
3.4.2译码器
3.5数据选择器与数据分配器
3.5.1数据选择器
3.5.2数据分配器
3.6数值比较器
3.6.1数值比较器的工作原理
3.6.2集成数值比较器
3.7算术运算电路
3.7.1加法运算电路
3.7.2减法运算电路
3.7.3项目讨论:用译码器或数据选择器设计两位乘法器
习题与思考题
第4章 存储器、锁存器与触发器
4.1双稳态存储器
4.1.1基本双稳态存储电路
4.1.2基本RS锁存器
4.2锁存器
4.2.1 RS锁存器
4.2.2 D锁存器
4.2.3项目讨论:请用锁存器设计绝对公平的8路抢答器电路
4.3触发器
4.3.1 D触发器及应用
4.3.2项目讨论:请用触发器设计绝对公平的8路抢答器电路
4.3.3 JK触发器
4.3.4 T触发器
4.3.5锁存器、触发器与寄存器
4.4半导体存储器
4.4.1随机存取存储器及非易失性存储器
4.4.2半导体存储器的基本结构及访问
4.4.3基于半导体存储器的组合逻辑电路设计
习题与思考题
第5章 可编程逻辑器件原理及典型产品
5.1 PLD概述
5.1.1 PLD的特点及可编程的核心原理
5.1.2 PLD的发展历程及分类
5.1.3 PLD的主要厂商
5.1.4 PLD的电路符号表示
5.2 PLD的结构及工作原理
5.2.1从PROM到PLA
5.2.2 PAL经GAL到乘积项结构CPLD
5.2.3基于查找表的PLD的工作原理简介
5.3Intel-PSG的PLD产品及开发
5.3.1 Intel-PSG的PLD产品编程与配置
5.3.2 Intel-PSG的PLD及应用基础
习题与思考题
第6章 基于Verilog HDL数字系统设计基础
6.1基于HDL进行数字系统设计概述
6.2 Verilog HDL的模块结构及语句
6.3 Verilog HDL的数值表示及变量数据类型
6.3.1 Verilog HDL的数值表示
6.3.2 Verilog HDL的变量数据类型
6.4 Verilog HDL的三种建模方式
6.4.1结构化描述方式
6.4.2数据流描述方式
6.4.3行为描述方式
6.4.4项目讨论:基于Verilog HDL设计简易的算术逻辑单元
6.5典型组合逻辑电路的Verilog HDL描述
6.5.1完整的条件语句是描述组合逻辑电路的基本前提
6.5.2通用译码器设计
6.5.3数码管显示译码器设计
6.5.4数据选择器设计
6.5.5优先编码器设计
6.5.6利用任务和函数语句对组合逻辑电路进行结构化描述
6.6时序逻辑电路的Verilog HDL描述
6.6.1锁存器的Verilog HDL描述
6.6.2项目讨论:请基于Verilog HDL用锁存器设计绝对公平的8路抢答器电路
6.6.3触发器的Verilog HDL描述与过程赋值语句
6.6.4项目讨论:请基于Verilog HDL用触发器设计绝对公平的8路抢答器电路
6.6.5不完整条件时序逻辑电路描述进阶
6.7 Verilog HDL的循环语句与乘法器设计
6.7.1 Verilog HDL的循环语句与组合式乘法器
6.7.2存储器查表乘法器
6.8双向端口与存储器设计
6.8.1双向端口描述
6.8.2基于寄存器数组定义存储器
6.8.3 SRAM型存储器设计
6.8.4基于AB、DB和CB接口的ROM设计
习题与思考题
第7章 时序逻辑电路的分析与设计
7.1时序逻辑电路
7.1.1时序逻辑电路及分类
7.1.2同步时序逻辑电路的构成、输出特点及分类
7.2时序逻辑电路的分析
7.2.1同步时序逻辑电路分析实例
*7.2.2异步时序逻辑电路分析实例
7.3同步时序逻辑电路的设计
7.3.1同步时序逻辑电路的设计方法
7.3.2同步时序逻辑电路中的异步时钟(信号)同步化技术
7.4同步时序逻辑电路的工作参数
7.4.1触发器的数据输出延时
7.4.2时钟到达时间、时钟偏斜和数据到达时间
7.4.3触发器的建立时间和保持时间
7.4.4建立时间裕量、保持时间裕量、数据需求时间和最小时钟周期
7.4.5竞争冒险处理
7.5基于VerilogHDL的有限状态机设计
7.5.1有限状态机思想
7.5.2有限状态机的状态编码及安全设计
7.5.3有限状态机的Verilog HDL描述方法
7.6典型同步时序逻辑功能电路计数器
7.6.1计数器的一般设计方法及结构
7.6.2MSI计数器芯片及模控制原理
7.6.3项目讨论:基于MSI计数器芯片设计模可设置计数器
7.6.4基于Verilog HDL的通用计数器设计与描述
7.6.5基于Verilog HDL描述分频器和PWM波形发生器
7.7典型同步时序逻辑功能电路移位寄存器与移位型计数器
7.7.1移位寄存器
7.7.2 8位双向移位寄存器的Verilog HDL描述
7.7.3项目讨论:带两级锁存的串入-并出移位寄存器74HC595的描述
7.7.4移位型计数器
7.8基于MSI的同步时序逻辑电路设计
7.8.1基于MSI进行同步时序逻辑电路设计的方法
7.8.2序列信号发生器的设计
7.8.3项目讨论:1110010序列发生器的设计
*7.9定时器作为协处理器的有限状态机设计
*7.10算法状态机图与带有数据通道的有限状态机描述
习题与思考题
第8章 DA与AD转换器及其应用
8.1 DA与AD转换器概述
8.2 DA转换器原理
8.2.1权电阻网络DA转换器原理
8.2.2模拟开关的原理及应用
8.2.3 R-2R T型电阻网络DA转换器
8.2.4电流输出型DA转换器
8.2.5 DA转换器的主要技术指标及选型依据
8.2.6基于TL431的基准电压源设计
8.3 DAC8032及其应用
8.3.1 DA转换器芯片DAC0832
8.3.2 DAC0832的应用
8.4 AD转换器原理
8.4.1并联比较型AD转换器
8.4.2计数型AD转换器
8.4.3逐次比较型AD转换器
8.4.4双积分型AD转换器
8.4.5 AD转换器的主要性能指标
8.5逐次比较型AD转换器ADC0809
8.5.1 ADC0809简介
8.5.2 ADC0809的接口时序及状态机操控
习题与思考题
第9章 波形产生电路
9.1 555集成电路
9.1.1 555集成电路的电路结构与功能
9.1.2用555集成电路实现施密特触发特性
9.2单稳态触发电路
9.2.1用CMOS管门电路组成的微分型单稳态触发电路
9.2.2积分型单稳态触发电路
9.2.3用555集成电路组成的单稳态触发电路
9.2.4单稳态触发电路的触发连续性
9.3多谐振荡器
9.3.1用门电路组成的多谐振荡器
9.3.2用施密特触发门电路构成波形产生电路
9.3.3用555集成电路组成的多谐振荡器
9.3.4 CMOS石英晶体振荡器
9.4 DDS波形发生原理及正弦波信号发生器设计
9.4.1 DDS工作原理
9.4.2 Verilog HDL信号发生器设计
习题与思考题
附录A CMOS 和TTL逻辑门电路的技术参数
附录B常用74系列门电路速查表
附录C可综合Verilog HDL语法速查
附录D常用逻辑符号对照表
参考文献
內容試閱
前言
现代电子和通信技术及计算机技术的发展,归根结蒂是数字电子技术的发展。作为信息社会的技术基础,几十年来数字电子技术一直是电子信息工程、电气工程及其自动化、测控技术与仪器、通信工程、自动化、计算机科学与技术等专业必修的基础课。传统的数字电子技术课程以逻辑代数的公式和定理、逻辑函数的表示方法,以及逻辑函数的简化方法作为分析与设计数字逻辑电路的数学工具,且将卡诺图作为数字逻辑电路设计中的核心工具。当进行数字逻辑系统设计时,首先要根据逻辑功能画出卡诺图,并最终得到一张线路图,这就是传统的原理图设计方法。为了能够对设计进行验证,设计者通常还要搭建硬件电路板,效率低下。随着信息科技的发展,数字逻辑电路的集成度、复杂度越来越高,传统的数字系统设计方法已满足不了设计的要求。目前,硬件描述语言(hardware description language,HDL)和电子设计自动化(electronic design automation,EDA)技术日趋完善,基于卡诺图的方法只适用于极简单的应用场合,复杂的数字逻辑电路都采用可编程逻辑器件(programmable logic device,PLD)和HDL,即编写描述代码来实现。
另外,在传统的数字系统设计中,学生在没有逻辑分析仪等仪器的情况下,很难直观经历和感受数字系统分析与调试的过程。很多学生一直处在数字系统设计的初等水平,甚至对数字电路的设计仅仅是纸上谈兵,他们自然对这门课的实验毫无兴趣。EDA环境不但可以仿真,还可以在线测试,能大幅提升学生的数字系统应用能力。
显然,以PLD为基础的数字系统设计早已成为工程应用的主流,所采用的方法也并非是传统的卡诺图,而是采用HDL。为了能够提升学生设计数字系统的能力,能够与工程应用接轨,EDA技术课程作为数字电子技术的延伸和实训环节早已进入大学的课堂。
然而,在多年的实践中,两门课程的教学相对孤立,不能做到有机融合,并且,学生不能完全做到互促式学习,形成扎实的技能。究其原因,主要是:首先,EDA技术课程一般在第6或第7学期,相对于数字电子技术课程,两门课程之间有空档期,造成学习的不连贯;其次,数字电子技术课程具有较多的学时,甚至具有较多的实践学时和集中实践环节,而EDA技术课程最多也不过32学时,更没有集中实践环节,相对于目前的工程实践,本末倒置;最后,相对于EDA技术课程,数字电子技术课程只能进行小规模应用水平实践教学,学生很难进行创新应用和创业实践。
因此,两门课程的深度融合是数字电子技术课程教学的必然。目前,各经典教材都在尝试做两门课程的融合,促进和配合教学改革,尤其是满足新工科建设和工程教育专业认证需要。
本书将传统的数字电子技术与EDA技术有机地整合在一起,统筹安排教学内容、合理整合教学资源,使得学生能将数字系统设计的原理与实践紧密结合起来,总学时可以保持与传统的数字电子技术课程的授课学时一致。由于数字系统设计相关课程是电类相关专业后续多门课程的基础,因此,加大对该课程理论和实践环节的改革和建设力度,对于快速提高学生的专业能力具有格外重要的意义。同时,课程整合后,集中实践环节更具工程内涵,为学生的快速成才提供捷径。
鉴于以上考虑,本书以数字电子基本理论和基本技能为引导,以EDA平台和HDL为主要设计手段,以培养工程能力为宗旨;逻辑电平由早已过时的5V改为3.3V描述,淡化电路的内部结构,强调电路的外部特性;淡化逻辑表达式的化简,由数字电子基本知识快速过渡到以HDL技术为核心的数字系统设计方法上来,建立传统数字电子技术设计和现代设计方法相结合的新课程体系。使得整个教学过程,在原理图设计层面,通过EDA环境讲述数字逻辑基础;在PLD层面,基于HDL讲述数字系统设计。即在电子系统设计中,突出现代设计方法设计;在传统设计中,有效地利用EDA工具加强教学。同时,本书以注重基本概念、基本单元电路、基本方法和典型电路为出发点,促进学生基本应用能力的形成。
多年教学实践证明,在数字电子技术的教学过程中全面融入EDA技术,不仅可以使学生形象、直观地理解电路的相关原理和工作过程,还可以通过修改电路的形式或参数,与学生一起讨论电路中出现的各种现象,找出解决问题的方法。这样不仅可以活跃课堂气氛,还可以提高学生学习兴趣,同时,理论和实验的结合紧密充分发挥学生的积极性和创造性,达到了较好的教学效果。
本书由秦进平教授主持编写,与刘海成副教授合编完成,其中,秦进平编写第1章、第2章、第3章和第4章,刘海成编写第5章、第6章和第7章。参与编写的还有:周正林副教授编写了第8章,高旭东副教授编写了第9章。全书由哈尔滨工程大学阳昌汉教授主审,提出了很多宝贵意见,在此表示由衷的感谢。北京交通大学出版社对本书的出版给予具体的帮助和指导,并细致审定书稿,纠正一些错误和不妥之处,为提高书稿质量付出了艰苦劳动,在此谨向他们表示衷心感谢。
编者虽然力求完美,但由于水平有限,书中不足之处在所难免,敬请读者不吝指正和赐教,不胜感激!

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.