登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

『簡體書』基于Verilog HDL的数字系统设计与实现

書城自編碼: 3318245
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 赵科
國際書號(ISBN): 9787121357138
出版社: 电子工业出版社
出版日期: 2019-02-01


書度/開本: 16开 釘裝: 平装

售價:NT$ 251

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
思想地震:柄谷行人演讲集1995-2015(艺文志·日本思想)
《 思想地震:柄谷行人演讲集1995-2015(艺文志·日本思想) 》

售價:NT$ 302.0
大国人口
《 大国人口 》

售價:NT$ 411.0
何以中国·君臣:宋代士大夫政治下的权力场
《 何以中国·君臣:宋代士大夫政治下的权力场 》

售價:NT$ 510.0
文明的故事(全11卷-2024版)
《 文明的故事(全11卷-2024版) 》

售價:NT$ 11898.0
中国汽车综合测评技术研究报告 (2024)
《 中国汽车综合测评技术研究报告 (2024) 》

售價:NT$ 1040.0
万有引力书系:金马 巴拿马铁路悲歌
《 万有引力书系:金马 巴拿马铁路悲歌 》

售價:NT$ 510.0
人文与社会译丛:休谟的人生智慧
《 人文与社会译丛:休谟的人生智慧 》

售價:NT$ 354.0
高效时间管理:巧妙且明智地利用时间
《 高效时间管理:巧妙且明智地利用时间 》

售價:NT$ 302.0

建議一齊購買:

+

NT$ 304
《 电子信息专业英语 》
+

NT$ 291
《 FPGA现代数字系统设计及应用 高等学校电子信息类专业系列教材 》
+

NT$ 442
《 一般拓扑学基础 》
+

NT$ 390
《 FPGA应用技术及实践(第2版) 》
編輯推薦:
本书有以下几方面的特色:(1)基础内容精炼。本书针对FPGA工程应用,编写的基础理论及语法内容简洁凝练,主要提供一种查阅功能;(2)工程特点突出。本书突出实践性,针对电类相关专业分别举例,并结合基础性应用,全方位介绍实际工程应用的开发方法;(3)注重编程技巧及仿真测试;(4)内容全面。本书采用的案例,覆盖了电类相关专业,可以使读者得到丰富的工程开发方面的设计知识。
內容簡介:
本书基本上以语言为基础,循序渐进设计数字电路,并最终完成复杂数字系统,最终通过本书的学习可以独立进行FPGA设计,完成数字系统设计。书中讲解项目设计时,任务明确、条理清晰、结构规范、系统性强,并对硬件电路的进行优化设计,进行仿真验证,锻炼了硬件电路设计技巧,注重工程实践和实际应用,对初学者来说是一本很好的学习教材,对工程技术人员又是一本很好的参考资料。
關於作者:
赵科,2006-至今,大连交通大学任教,主要负责《电子设计自动化(EDA)》方向的教研工作,2014年主持校内教改项目《电子设计自动化(EDA)》课程评价与考核改革专项;2015年获大连交通大学第九届青年教师教学评比优秀奖。
目錄
目录
第一部分 基础篇
第1章 硬件开发平台及集成开发平台2
1.1FPGA原理及介绍2
1.1.1FPGA结构及原理2
1.1.2 FPGA芯片介绍7
1.2 硬件开发平台10
1.2.1 硬件平台介绍10
1.2.2硬件接口电路11
1.2.3 开发板引脚定义14
1.3 集成开发环境Vivado17
1.3.1 Vivado套件介绍18
1.3.2 Vivado开发流程18
1.3.3 生成和下载PROM文件35
第2章 Verilog HDL语言基础37
2.1 模块结构37
2.1.1 硬件描述语言简介37
2.1.2 Verilog 基本模块结构38
2.2 基本语法39
2.2.1 基本语法规则39
2.2.2 常量及其表示41
2.2.3 变量及其数据类型44
2.2.4 表达式48
2.2.5 运算符及其优先级48
2.3 描述方式52
2.3.1 结构化描述52
2.3.2 数据流描述54
2.3.3 行为描述56
2.3.4 描述形式与电路建模69
2.4逻辑仿真70
2.4.1 Testbench简介70
2.4.2 激励信号70
2.4.3 系统自定义函数和任务75

第二部分 入门篇
第3章 组合逻辑电路设计86
3.1 编码器86
3.1.1 普通编码器86
3.1.2 优先编码器87
3.2 译码器91
3.2.1 二进制译码器92
3.2.2 显示译码器93
3.3 数据选择器94
3.3.1 二选一数据选择器94
3.3.2 四选一数据选择器95
3.4 数据分配器97
3.5 数值比较器98
3.6 加法器99
3.7 算术逻辑单元100
第4章 时序逻辑电路设计103
4.1时序逻辑电路建模基础103
4.2 锁存器和触发器建模103
4.2.1 8位D锁存器104
4.2.2 D触发器105
4.2.3 异步置位和复位D触发器106
4.2.4 同步置位和复位D触发器108
4.2.5 异步复位和置位JK触发器109
4.2.6 阻塞赋值和非阻塞赋值111
4.3 寄存器建模113
4.3.1 普通寄存器113
4.3.2 移位寄存器114
4.4 计数器建模115
4.4.1 同步四位二进制加计数器115
4.4.2 异步4位二进制加计数器117
4.4.3 非二进制加计数器119
4.4.4 分频器120
第三部分提高篇
第5章时序状态机设计123
5.1有限状态机123
5.2状态机设计实例124
第6章原理图设计及IP调用132
6.1原理图设计132
6.2IP调用132
6.2.1创建新封装IP的设计工程132
6.2.2添加新封装IP的设计源文件133
6.2.3定制封装IP134
6.2.4调用用户封装IP136
6.2.5系统行为级仿真138
6.2.6系统验证139
第7章常用接口电路设计141
7.1LED显示控制141
7.2数码管显示控制144
7.3按键控制153
7.4脉冲信号产生电路157
7.5序列检测器158
第四部分应用篇
第8章复杂数字电路系统设计162
8.1简易数字钟设计162
8.2简易万年历设计165
8.3交通灯控制器设计172
8.4频率计设计176
8.5密码锁设计182
8.6抢答器设计189
8.7简易信号发生器设计193
8.8数字电压表设计205
8.9温湿度测量电路设计214
第9章实验与设计223
9.1含有异步清零、同步使能的十进制可逆计数器设计223
9.2双向移位寄存器设计224
9.3数码管动态扫描显示电路设计224
9.4键盘显示电路设计225
9.5电子日历和电子时钟设计226
9.6反应测量仪设计227
9.7出租车模拟计价器设计228
9.8具有4种信号灯的交通灯控制器设计229
9.9拔河游戏机设计230
参考文献232
內容試閱
前 言
随着EDA技术的发展,EDA在电子信息、通信、自动化控制及计算机应用等领域的重要性日益突出。与此同时,技术市场对EDA技术需求的不断提高,也必然会反映到教学和科研领域中来。以最近十届的全国大学生电子设计竞赛为例,涉及EDA技术的赛题从未缺少过。EDA技术在本科和研究生教学中有两个明显的特点:其一,各专业中EDA教学实验课程的普及率和渗透率极高;其二,几乎所有实验项目都部分或全部融入了EDA技术,其中包括数字电子技术、计算机组成与设计、计算机接口技术、数字通信技术、嵌入式系统和DSP等实验内容,并且更注重创新性实验。这显然是科技发展和市场需求双重影响下的必然结果。
本书按由浅入深的学习顺序进行安排,让读者先了解FPGA器件原理,再学习基本的Verilog语言基础和EDA工程软件使用方法,以及具体工程案例和实验项目指导。读者通过本书循序渐进地学习数字电路的设计及仿真,并最终完成复杂数字系统的设计及验证。书中讲解项目设计时,任务明确、条理清晰、结构规范、系统性强,并对硬件电路进行了优化设计和仿真验证,其目的是使读者掌握硬件电路设计技巧,注重工程实践和实际应用。本书对初学者来说是一本很好的学习教材,对工程技术人员又是一本很好的参考书籍。对于实验与设计,书中给出了详细的实验目的、实验原理和实验步骤,读者可以根据书中的大量实例进行知识扩展和创新设计。授课教师也可以根据本课程的实验学时和教学内容的要求,依照学生的兴趣程度,以不同的方式或形式让学生完成综合性、创新性项目。
本书有以下几方面的特色:(1)基础内容精炼。本书针对FPGA工程应用,编写的基础理论及语法内容简洁凝练,主要提供一种查阅功能;(2)工程特点突出。本书突出实践性,针对电类相关专业分别举例,并结合基础性应用,全方位介绍实际工程应用的开发方法;(3)注重编程技巧及仿真测试;(4)内容全面。本书采用的案例,覆盖了电类相关专业,可以使读者得到丰富的工程开发方面的设计知识。
本书是引导读者进入EDA深入学习的一把钥匙,特别适合电类专业及相关专业读者系统学习电子设计自动化技术。为便于读者学习,并考虑到本书的篇幅,本书第8章复杂数字电路系统设计部分的代码,读者可以通过扫描书中给出的相应二维码在线阅读。为便于教学,本书向使用本书作为教材的教师提供电子课件,请登录华信教育资源网(http:www.hxedu.com.cn)注册下载。
本书的第1章、第3~9章由赵科编写,第2章由鞠艳杰编写。本书编著者长期从事模拟电子技术、数字电子技术、硬件描述语言及FPGA应用开发等课程的教学工作。在本书的编写过程中,得到了薛严冰、刘晓博、陈宝君老师的大力帮助,参考和引用了有关专家的著作和论文,在此一并表示衷心的感谢。
由于时间仓促和编者水平所限,书中难免有疏误和不当之处,恳请读者批评指正。

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.