登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』芯片验证漫游指南——从系统理论到UVM的验证全视界

書城自編碼: 3162856
分類: 簡體書→大陸圖書→計算機/網絡硬件 外部设备 维修
作者: 刘斌
國際書號(ISBN): 9787121339011
出版社: 电子工业出版社
出版日期: 2018-04-01
版次: 1
頁數/字數: 560/896000
書度/開本: 16开 釘裝: 平装

售價:NT$ 644

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
神话的脉络:中国绘画中的传说建构
《 神话的脉络:中国绘画中的传说建构 》

售價:NT$ 437.0
锦奁曾叠:古代妆具之美
《 锦奁曾叠:古代妆具之美 》

售價:NT$ 717.0
盛世:西汉 康乾
《 盛世:西汉 康乾 》

售價:NT$ 549.0
所有治愈,都是自愈
《 所有治愈,都是自愈 》

售價:NT$ 381.0
财富管理的中国实践
《 财富管理的中国实践 》

售價:NT$ 717.0
先秦汉魏晋南北朝诗(附作者篇目索引)(全四册)精——中国古典文学总集
《 先秦汉魏晋南北朝诗(附作者篇目索引)(全四册)精——中国古典文学总集 》

售價:NT$ 2789.0
财之道丛书·太古传:商业帝国200年
《 财之道丛书·太古传:商业帝国200年 》

售價:NT$ 717.0
不要相信你所想的一切:如何停止过度思考,克服焦虑、自我怀疑和自我破坏
《 不要相信你所想的一切:如何停止过度思考,克服焦虑、自我怀疑和自我破坏 》

售價:NT$ 235.0

建議一齊購買:

+

NT$ 518
《 例说FPGA:可直接用于工程项目的第一手经验 》
+

NT$ 510
《 锁相环技术原理及FPGA实现 》
+

NT$ 668
《 数字设计和计算机体系结构(原书第2版) 》
+

NT$ 466
《 Vivado从此开始 》
+

NT$ 711
《 UVM实战 》
+

NT$ 621
《 FPGA快速系统原型设计权威指南 》
內容簡介:
资深验证专家刘斌(路桑)向您全面介绍芯片验证,从验证的理论,到SystemVerilog语言和UVM验证方法学,再到高级验证项目话题。这本综合性、实用性的验证理论和编程方面的图书,针对芯片验证领域不同级别的验证工程师,给出由浅入深的技术指南:学习验证理论来认识验证流程和标准,学习SystemVerilog语言和UVM方法学来掌握目前主流的动态验证技术,了解高级验证话题在今后遇到相关问题时可以参考。
關於作者:
刘斌(路桑)目前是Intel公司的资深验证专家。在Intel移动通信事业部主持验证架构规划和方法学研究,担任过几款亿门级通信芯片的验证经理角色。在工程领域之外,他在西安电子科技大学和西安交通大学客座讲授芯片验证课程。创办的验证技术订阅号路科验证,目前已有超过10000名的订阅者。多次在设计验证行业国际会议和展览中发表论文,并做了富有特色的演讲。在西安交通大学取得微电子专业学士学位,在瑞典皇家理工学院取得芯片设计专业硕士学位。
目錄
第1章芯片验证全视
1.1功能验证简介
1.2验证的处境
1.2.1验证语言的发展
1.2.2验证面临的挑战
1.3验证能力的5个维度
1.3.1完备性
1.3.2复用性
1.3.3高效性
1.3.4高产出
1.3.5代码性能
1.4验证的任务和目标
1.4.1按时保质低耗
1.4.2芯片研发与客户反馈
1.4.3缺陷增长曲线
1.5验证的周期
1.5.1验证周期中的检查点
1.5.2功能详述
1.5.3制定验证计划
1.5.4开发验证环境
1.5.5调试环境和HDL文件
1.5.6回归测试
1.5.7芯片生产
1.5.8硅后系统测试
1.5.9逃逸分析
1.6本章结束语
第2章验证的策略
2.1设计的流程
2.1.1TLM模型的需求和ESL开发
2.1.2传统的系统设计流程
2.1.3ESL系统设计流程
2.1.4语言的抽象级比较
2.1.5传统的系统集成视角
2.1.6ESL系统集成视角
2.2验证的层次
2.2.1模块级
2.2.2子系统级
2.2.3芯片系统级
2.2.4硅后系统级
2.3验证的透明度
2.3.1黑盒验证
2.3.2白盒验证
2.3.3灰盒验证
2.4激励的原则
2.4.1接口类型
2.4.2序列颗粒度
2.4.3可控性
2.4.4组件独立性
2.4.5组合自由度
2.5检查的方法
2.6集成的环境
2.6.1验证平台
2.6.2待验设计
2.6.3运行环境
2.6.4验证管理
2.7本章结束语
第3章验证的方法
3.1动态仿真
3.1.1定向测试
3.1.2随机测试
3.1.3基于覆盖率驱动的随机验证
3.1.4基于TLM的随机验证
3.1.5断言检查
3.2静态检查
3.2.1语法检查
3.2.2语义检查
3.2.3跨时钟域检查
3.2.4形式验证
3.3开发环境
3.3.1Vim开发环境
3.3.2商业SV开发环境DVT
3.4虚拟模型
3.5硬件加速
3.6效能验证
3.6.1功率和能量
3.6.2静态功耗和动态功耗
3.6.3节能技术
3.6.4效能验证
3.6.5功耗预测与优化
3.7性能验证
3.7.1设定目标
3.7.2测试环境
3.7.3验证方法
3.8趋势展望
3.8.1技术之间的横向跨越
3.8.2层次之间的纵向复用
3.9本章结束语
第4章验证的计划
4.1计划概述
4.2计划的内容
4.2.1技术的视角
4.2.2项目的视角
4.3计划的实现
4.3.1邀请相关人员
4.3.2开会讨论
4.3.3确定测试场景
4.3.4创建验证环境
4.4计划的进程评估
4.4.1回归测试通过率
4.4.2代码覆盖率
4.4.3断言覆盖率
4.4.4功能覆盖率
4.4.5缺陷曲线
4.5本章结束语
第5章验证的管理
5.1验证周期的检查清单
5.2验证管理的三要素
5.2.1时间管理
5.2.2人力资源安排
5.2.3任务拆分和重组
5.3验证的收敛
5.3.1回归流程
5.3.2回归质量
5.3.3回归效率
5.4让漏洞无处可逃
5.5团队建设
5.6验证师的培养
5.6.1全硅能力
5.6.2不做假设
5.6.3专注力
5.6.4逻辑性
5.6.5 战鼓光环
5.6.6降低复杂度
5.7验证的专业化
5.7.1对验证的偏见
5.7.2验证面临的现状
5.7.3验证标准化
5.7.4验证经验的积累和突破
5.8本章结束语
第6章验证的结构
6.1测试平台概述
6.2硬件设计描述
6.2.1功能描述
6.2.2设计结构
6.2.3接口描述
6.2.4接口时序
6.2.5寄存器描述
6.3激励发生器
6.4监测器
6.5比较器
6.6验证结构
6.6.1项目背景
6.6.2MCDF验证进度安排
6.7本章结束语
第7章SV环境构建
7.1数据类型
7.2模块定义与例化
7.2.1模块定义
7.2.2模块例化
7.2.3参数使用
7.2.4参数修改
7.2.5宏定义
7.3接口
7.3.1接口连接方式1
7.3.2接口连接方式2
7.3.3接口的其他应用
7.4程序和模块
7.4.1Verilog设计竞争问题
7.4.2SV的仿真调度机制
7.4.3module数据采样示例1
7.4.4module数据采样示例2
7.4.5program数据采样示例
7.5测试的始终
7.5.1系统函数调用方式结束
7.5.2program隐式结束
7.5.3program显式结束
7.6本章结束语
第8章SV组件实现
8.1激励发生器的驱动
8.1.1激励驱动的方法
8.1.2任务和函数
8.1.3数据生命周期
8.1.4通过接口驱动
8.1.5测试向量产生
8.1.6仿真结束控制
8.2激励发生器的封装
8.2.1类的封装
8.2.2类的继承
8.2.3成员覆盖
8.2.4虚方法
8.2.5句柄使用
8.2.6对象复制
8.2.7对象回收
8.3激励发生器的随机化
8.3.1可随机的激励种类
8.3.2约束求解器
8.3.3随机变量和数组
8.3.4约束块
8.3.5随机化控制
8.3.6随机化的稳定性
8.3.7随机化的流程控制
8.3.8随机化的系统函数
8.4监测器的采样
8.4.1Interface clocking简介
8.4.2利用clocking事件同步
8.4.3利用clocking采样数据
8.4.4利用clocking产生激励
8.4.5monitor的采样功能
8.5组件间的通信
8.5.1通知的需求
8.5.2资源共享的需求
8.5.3数据通信的需求
8.5.4进程同步的需求
8.5.5进程通信要素的比较和应用
8.6比较器和参考模型
8.6.1异常检查
8.6.2常规检查
8.6.3时序检查
8.6.4组件连接
8.7测试环境的报告规范
8.7.1信息报告库
8.7.2信息库使用场景
8.8本章结束语
第9章SV系统集成
9.1包的意义
9.2验证环境的组装
9.2.1封装验证环境的方式
9.2.2模块环境的复用考量
9.2.3比较器的复用考量
9.2.4顶层环境的实现
9.3测试场景的生成
9.3.1动态控制激励
9.3.2调度多个激励器
9.3.3线程的精细控制
9.3.4动态测试向量
9.3.5向量群落的并发控制
9.4灵活化的配置
9.4.1Agent的两面性
9.4.2各个组件的模式配置
9.4.3验证结构的集成顺序
9.5初论环境的复用性
9.5.1复用的策略
9.5.2水平复用的应用
9.5.3垂直复用的应用
9.6本章结束语
第10章UVM世界观
10.1我们所处的验证时代
10.2类库地图
10.3工厂机制
10.3.1工厂的意义
10.3.2工厂提供的便利
10.3.3覆盖方法
10.3.4确保正确覆盖的代码要求
10.4核心基类
10.4.1域的自动化
10.4.2复制
10.4.3比较
10.4.4打印
10.4.5打包和解包
10.5phase机制
10.5.1phase执行机制
10.5.2如何开始UVM仿真
10.5.3如何结束UVM仿真
10.6config机制
10.6.1interface传递
10.6.2变量设置
10.6.3config object传递
10.6.4config机制
10.6.5其他配置方法
10.6.6uvm_resource_db的使用
10.7消息管理
10.7.1消息方法
10.7.2消息处理
10.7.3消息机制
10.8宏的优劣探讨
10.9本章结束语
第11章UVM结构
11.1组件家族
11.1.1uvm_driver
11.1.2uvm_monitor
11.1.3uvm_sequencer
11.1.4uvm_agent
11.1.5uvm_scoreboard
11.1.6uvm_env
11.1.7uvm_test
11.2把DUT装进TB分几步
11.2.1MCDF顶层验证环境方案1
11.2.2MCDF顶层验证环境方案2
11.3构建环境的内经
11.3.1环境构建的四要素
11.3.2环境元素分类
11.4本章结束语
第12章UVM通信
12.1TLM通信概论
12.2单向、双向及多向通信
12.2.1单向通信
12.2.2双向通信
12.2.3多向通信
12.3通信管道应用
12.3.1TLM FIFO
12.3.2Analysis Port
12.3.3Analysis TLM FIFO
12.3.4Request & Response 通信
管道
12.4TLM2通信
12.4.1接口实现
12.4.2传送数据
12.4.3时间标记
12.4.4典型使用
12.5同步通信元件
12.5.1uvm_event应用
12.5.2uvm_barrier应用
12.5.3uvm_callback应用
12.6本章结束语
第13章UVM序列
13.1新手上路
13.2Sequence和Item
13.2.1Sequence Item
13.2.2Flat Sequence
13.2.3Hierarchical Sequence
13.3Sequencer和Driver
13.3.1双方的TLM端口和方法
13.3.2事务传输
內容試閱
序(一)
近年来,我国集成电路(IC)产业高速蓬勃发展,与发达国家的技术差距不断缩小。国家集成电路产业基金起到了积极的推动作用。产业基金的第二期将重点投资在集成电路设计领域,预计规模有望达2000亿元。设计领域的投入,将会围绕人工智能、物联网、5G通信、智能汽车、智能电网等国家战略和新兴行业,创造出科技含量更高、能够实现进口替代的高端集成电路芯片。
在这一时代背景下,我国集成电路企业正呈现出数量和规模迅速增长、竞争日趋激烈的态势。在大量资本投入的背景下,企业对IC设计工程型专业人才的需求非常迫切,形成了巨大的人才需求缺口。需求差距表现在两个方面,一方面高校每年毕业的IC设计人才无法满足数量需求。另一方面,毕业生的专业IC技能与企业的实际需求也存在一定欠缺。因此,为了全面推动创新型复合IC工程人才的培养,作为人才培养主力军的高校和集成电路企业之间就需要进行资源共享与深度产学合作,共同推动我国IC人才培养质量的提升。
在产学合作方面,十多年来西安电子科技大学微电子学院通过与英特尔等行业骨干企业的密切合作,积累了丰富的经验,在合作机制、课程体系、教学方法等方面形成了鲜明的特色,为IC创新人才培养奠定了坚实的基础。2015年,微电子学院与本书作者及其所在的英特尔公司携手开展IC教学内容改革与协同育人的产学合作项目,邀请作者到我院客座讲授集成电路芯片验证课程,并在课程结束后优选学生到英特尔和其他众多国内高端IC公司参加实习,进行项目实践并完成工程论文。可以说,将企业实践经验引入教学体系,搭建起良好的产学协同育人平台,使得我院学生在知识体系和实践能力方面获得了显著提升,大大提升了我院人才培养的行业适应度和满意度。我院与英特尔公司建立的研究生培养基地被评为2017年度全国专业学位研究生培养示范基地。
在与作者交流时,得知作者计划将此书作为IC验证工程类教材,我感到非常高兴。我校已经和作者达成一致,将这三年以来逐渐打磨完善的芯片验证课程推广至中国大学慕课(MOOC)在线教育平台,将合作多年形成的优秀工程实践课程成果与全国其他高校分享,共同推进我国IC专业人才培养质量的提升和教学模式改革创新。
作者一直工作在企业研发的一线,是国际IC行业领导者英特尔公司的资深验证专家,具有丰富的工程经验,深知目前IC验证人才所需的知识与能力要求。同时,作者在我校和西安交通大学客座讲授芯片验证课程多年,对验证理论有很深的理解。因此,我相信本书将会成为集成电路验证理论与实践高度融合的不可多得的著作。作者能够坚持多年在我校开展芯片验证工程教学,在校企合作培养集成电路工程型人才中起到带头示范作用,在此我对作者长期致力于产学结合推动高校教育事业的奉献精神表示由衷的感谢与敬意。
在本书出版前夕,我应邀为本书作序,感到非常荣幸。希望本书能为我国集成电路行业的创新型工程人才培养发挥重要的促进作用;希望作者进一步将本书和芯片验证课程向全国推广,为中国集成电路人才培养贡献更大的力量。
张进成
教育部长江学者特聘教授
西安电子科技大学微电子学院副院长
序(二)
数字集成系统的验证,是提高设计芯片一次流片成功的关键。验证工作与设计仿真工作不同,仿真的目的是证明设计方案的正确性,用仿真的方法证明设计方案符合拟定的设计规范;验证工作则是证明设计方案中不存在错误。理想情况下,存在任何设计错误的方案都不应该进入流片,换句话说,进入流片环节的设计方案中不应该存在已知错误。验证过程的目标就是找出设计方案中可能存在的错误。
设计错误很容易造成芯片完全不能工作,而修正错误重新流片不但需要投入额外的费用,更会大大推迟将芯片上市时间,这些风险对于芯片产品的开发来说都是不可接受的。随着芯片制造工艺的更加精细,芯片制造费用的不断增加,芯片功能越来越复杂,验证的重要性也日益增加。
本书作者2010年在瑞典皇家理工学院毕业后,一直从事芯片验证工作,本书是其多年实际工作经验的结晶。全书的内容涉及验证方法及流程设计,也涉及常用数字单元的验证经验。相信本书的内容有益于高等学校数字集成系统设计的高年级学生和研究生的学习,有益于集成电路领域从事数字系统设计的工程师的工作,更有益于直接从事集成电路验证工作的工程技术人员的工作。
中国集成电路产业的发展,正在进入新的高速发展阶段。相信本书的出版定会给集成电路设计行业带来新的知识、成熟的经验,为行业的发展带来新的动力。
王志华
清华大学教授,IEEE Fellow
2018年3月于清华园
前 言
在我有限的工作生涯中值得我庆幸的是,刚进入工作岗位时的第一任老板给了我选择的权利设计岗还是验证岗?因为当时我已经在国外学习了芯片验证的相关知识,也了解了验证的相关事务,于是便选择了验证岗并一直从事到现在。与国内多数验证工程师的入职经历不同的是,我当时是有更多选择的,而选择验证岗,并不是被公司指派到了验证岗。这中间的差别在于,一家认可验证工程师贡献的公司是将验证岗位与其他岗位同等看待的,甚至由于依赖验证质量而会给予验证更多的褒奖。从这两年芯片设计行业的招聘数据来看,验证工程师与设计工程师的薪资是看齐的。尽管验证工程师的春天已经到来,不过我们还需要在芯片设计产业链上制定自己的从业标准,提高验证工程师的从业形象,继而才能摆脱多年以来设计为主,验证为辅的陈旧思想。
参考清华大学魏少军教授在2017年SEMICON大会上的讲稿内容,我国在2020年的芯片设计从业人数需求将从现有的13万人急速增长到28万人,而全国高校每年培养的各类集成电路人才还不到1万人。这中间的人才数量差距对于高校人才培养和企业用人单位都已是严峻的问题。在这么大的人才资源挑战面前,2015年国家教育部发布了关于支持有关高校建设示范性微电子学院的通知,其中包括9所高校建设示范性微电子学院,17所高校筹备建设示范性微电子学院。在提高教学质量、扩充从业人才的同时,该通知要求加快培养集成电路产业急需的工程型人才,建立学院新型用人机制,鼓励教师潜心育人并主动开展产学合作,聘请一定比例的企业专家授课或担任指导教师,引进国外高水平专家,建立一支由专职教师、企业专家和兼职教师组成的师资队伍,推动示范性微电子学院国际化发展。
同样也是在2015年春季,我应西安交通大学微电子学院梁峰教授的邀请,为集成电路专业的硕士研究生开设了SoC系统验证英文课程。同年,应西安电子科技大学微电子学院史江义教授的邀请,为集成电路专业的硕士研究生开设了SystemVerilog芯片验证课程,到现在已然度过三个春秋。随着课程内容体系的不断打磨完善,以及每学期上百人的课程反馈,院方和学生都一致认为应该将这门课推广到全国。因此在本书出版的同时,我也在积极同西安电子科技大学微电子学院对接,希望通过结合验证课程和本书的出版,在不久的将来通过中国大学MOOC(慕课)网可以让更多集成电路相关专业的学生了解验证的知识,扩大产学结合的影响。让更多在校学生能够接触主流的芯片验证知识,同时也使得芯片设计企业可以获得具备相关技能的人才,达到校企双赢的目的。
响应国家集成电路产业战略是IC从业者的幸事。在与高校展开校企合作的不久,我于2016年春季开始计划将验证课程做成精品课程,从高校教育出发来影响芯片行业对验证岗位的认识,并且为企业输送合格的工程类人才。为了配合这一计划,我创办了路科验证的技术订阅号。我创办这个订阅号的初衷一方面是为了督促自己能够定期地输出文章,另外一方面也是可以从验证技术文章中早一点获得读者的反馈来修正本书内容。在2017年夏季,本书的所有内容完成,有赖于张国强先生的引荐,我得以与电子工业出版社签订著作出版合同。不过与计划有点出入的是,此书原本是计划在2017年秋季面市的,这可以为我的学生们提供配套的验证课程教材,也是为了给我的女儿大蒙庆祝生日。结果由于企业项目的压力和对出版过程的乐观估计,一直将此书延迟到了2018年的春季,以至于我的二女儿小蒙已然半岁了。
路科验证订阅号在2017年秋季校招期间发布了一篇文章《面对这份2017年的IC应届薪资表,我真想再毕业一次!》,引起了验证从业人员的广泛评论和转载。这篇文章也让即将从事验证的大学生们认识到国内IC行业的朝阳形势。我相信,只有正确引导大学生对验证的认识,才可能在未来让这些从事IC行业的精英们将验证的重要性铭记在心,而不论他们将来进入设计岗位、验证岗位又或者是项目管理等其他岗位。
面对日益复杂的芯片系统设计和IP的高度集成方式,验证的重要性日益突出。验证工程师们不再仅仅掌握某一种工具或者某一种语言就可以确保芯片的功能正确。他们需要掌握多种工具和多种语言,并且在项目环节中需要选择合适的工具和方法才有可能满足紧张的项目节点和复杂的设计功能要求。同时,功能正确也不再是芯片的唯一指标,在移动化时代,芯片的低功耗和高性能两大要求也被摆在同样重要的地位。可以说,验证工程师即使掌握了十八般武艺,还需要将它们灵活应用,最终才能做好芯片的守护人,为高成本流片扫清障碍,降低流片的风险。
验证工程师的经验提高得比较快,这与他们从事于近似软件代码编写的工作性质有关。验证工程师可以通过快速训练、试错并且再纠正来提升经验。基于这一背景,近些年验证方法学一直借鉴软件开发的手段,不断地在提升验证效率。这也意味着在接下来的时间,验证行业将因为与芯片设计复杂度不断加大的效率代沟而需要不断推出新的工具、语言和方法学来提升其效率。验证岗位的知识半衰期要比同行业的其他岗位更短,验证工程师因此需要保持不断学习的心态来武装自己。同时对于高校毕业生,验证岗位的招聘要求也将不断提高。可以预见到是,将来的芯片设计行业需求矛盾在于,需要数量巨大的验证工程师来为芯片质量保驾护航,但日益提高的岗位技能要求又使得高校无法很好地培养验证人才。相比于设计工程师,验证工程师是更趋近于工程型的人才,因此如何能

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.