登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』综合与时序分析的设计约束:Synopsys设计约束(SDC)实用指南

書城自編碼: 3128894
分類: 簡體書→大陸圖書→工業技術機械/儀表工業
作者: [美]斯里达尔·甘加达兰
國際書號(ISBN): 9787111588948
出版社: 机械工业出版社
出版日期: 2018-02-01


書度/開本: 16开

售價:NT$ 384

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
中国绘画史(第三卷)
《 中国绘画史(第三卷) 》

售價:NT$ 1669.0
德兰修女传:在爱中行走(世界名人名传典藏系列)
《 德兰修女传:在爱中行走(世界名人名传典藏系列) 》

售價:NT$ 280.0
抗癌饮食指导
《 抗癌饮食指导 》

售價:NT$ 442.0
编织大花园.7,趣味十足的时尚编织
《 编织大花园.7,趣味十足的时尚编织 》

售價:NT$ 386.0
游戏三部曲:游戏改变未来+游戏改变世界+游戏改变人生(套装共3册)
《 游戏三部曲:游戏改变未来+游戏改变世界+游戏改变人生(套装共3册) 》

售價:NT$ 1622.0
修昔底德与政治秩序
《 修昔底德与政治秩序 》

售價:NT$ 386.0
大学问·魏晋清谈史
《 大学问·魏晋清谈史 》

售價:NT$ 549.0
区域经济与产业发展研究
《 区域经济与产业发展研究 》

售價:NT$ 381.0

內容簡介:
本书为集成电路时序约束设计的指南,指导读者通过指定的时序要求,充分发挥IC设计的性能。本书内容包括受时序约束的关键环节的设计流程、综合时序分析、静态时序分析和布局布线等。本书首先详细讲解时序要求的概念,然后详细解释如何将其应用于设计流程中的特定阶段,后通过实践介绍在Synopsys约束设计下(SDC)业界领先约束的格式。
目錄
目录
译者序
推荐序
前言
致谢一
致谢二
第1章 绪论1
1.1 ASIC设计流程1
1.2 FPGA设计流程4
1.3 ASIC和FPGA设计流程中的时序约束6
1.4 纳米级设计中的时序约束问题6
1.5 小结7
第2章 综合的基础知识8
2.1 综合的解释8
2.2 时序约束在综合中的作用8
2.2.1 优化9
2.2.2 输入重排序9
2.2.3 输入缓冲10
2.2.4 输出缓冲11
2.3 综合中面临的普遍问题11
2.3.1 设计划分11
2.3.2 更新约束12
2.3.3 多时钟设计12
2.4 小结12
第3章 时序分析与约束14
3.1 静态时序分析14
3.2 时序约束在STA中的作用15
3.2.1 约束作为声明16
3.2.2 约束作为断言16
3.2.3 约束作为指令16
3.2.4 约束作为异常17
3.2.5 约束的角色变化17
3.3 STA中的常见问题18
3.3.1 无功能检查18
3.3.2 无声明检查18
3.3.3 要求正确18
3.3.4 约束中的常见错误19
3.3.5 好约束的特征20
3.4 延迟计算与STA21
3.5 时序路径21
3.5.1 起点和终点22
3.5.2 打断路径23
3.5.3 功能路径与时序路径23
3.5.4 时钟路径与数据路径23
3.6 建立与保持24
3.6.1 建立分析24
3.6.2 保持分析24
3.6.3 其他分析25
3.7 裕度25
3.8 片上变化26
3.9 小结27
第4章 通过Tcl扩展SDC28
4.1 时序约束的历史28
4.2 Tcl基础知识29
4.2.1 Tcl变量29
4.2.2 Tcl列表30
4.2.3 Tcl表达式与运算符31
4.2.4 Tcl的控制流语句31
4.2.5 其他Tcl命令33
4.3 SDC综述33
4.3.1 时序约束34
4.3.2 面积与功率约束34
4.3.3 设计规则约束34
4.3.4 接口约束34
4.3.5 特定模式和配置约束34
4.3.6 设计约束异常35
4.3.7 其他命令35
4.4 SDC中的设计查询35
4.5 SDC作为标准36
4.6 小结36
第5章 时钟37
5.1 时钟周期和频率37
5.2 时钟沿和占空比38
5.3 creat_clock39
5.3.1 定义时钟周期39
5.3.2 标识时钟源39
5.3.3 命名时钟40
5.3.4 指定占空比40
5.3.5 同源多时钟41
5.3.6 注释时钟42
5.4 虚拟时钟42
5.5 其他时钟特征43
5.6 时钟规格的重要性43
5.7 小结44
第6章 生成时钟45
6.1 时钟分频器45
6.2 时钟乘法器46
6.3 时钟门控46
6.4 create_generated_clock47
6.4.1 定义生成时钟的对象47
6.4.2 定义生成时钟的源48
6.4.3 时钟命名48
6.4.4 设定生成时钟的特性48
6.4.5 时钟沿位移51
6.4.6 多个同源时钟52
6.4.7 使能组合电路路径53
6.5 生成时钟相关的注意事项54
6.6 小结54
第7章 时钟组55
7.1 建立和保持时序检查55
7.1.1 高速至低速时钟56
7.1.2 低速至高速时钟57
7.1.3 多个时钟于不同周期内同步57
7.1.4 异步时钟58
7.2 逻辑和物理独立时钟58
7.3 串扰59
7.4 set_clock_group60
7.5 时钟组相关的注意事项62
7.6 小结62
第8章 其他时钟特性63
8.1 过渡时间63
8.2 set_clock_transition64
8.3 偏斜和抖动65
8.4 set_clock_uncertainty65
8.4.1 内部时钟不确定度66
8.4.2 交互时钟不确定度66
8.5 时钟延迟67
8.6 set_clock_latency68
8.7 时钟路径的单边性70
8.8 set_clock_sense71
8.9 理想网络72
8.10 小结73
第9章 端口延迟74
9.1 输入有效74
9.1.1 最小和最大有效时间75
9.1.2 多时钟75
9.1.3 理解输入信号的到达时间76
9.2 输出要求77
9.2.1 最小和最大要求时间78
9.2.2 多个参考事件78
9.2.3 理解输出要求时间79
9.3 set_input_delay79
9.3.1 时钟规格79
9.3.2 -level_sensitive80
9.3.3 risefall 限定符80
9.3.4 minmax限定符81
9.3.5 -add_delay81
9.3.6 时钟延迟82
9.3.7 完成输入延迟约束83
9.4 set_output_delay83
9.4.1 时钟规格83
9.4.2 -level_sensitive83
9.4.3 risefall限定符84
9.4.4 minmax限定符84
9.4.5 -add_delay84
9.4.6 时钟延迟84
9.4.7 完成输出延迟约束84
9.5 输入延迟和输出延迟之间的关系84
9.6 时序分析实例85
9.6.1 输入延迟:最大输入延迟86
9.6.2 输入延迟:最小输入延迟87
9.6.3 输出延迟:最大输出延迟87
9.6.4 输出延迟:最小输出延迟88
9.7 负延迟89
9.8 小结90
第10章 完整的端口约束91
10.1 驱动能力91
10.2 驱动单元93
10.3 输入过渡97
10.4 扇出数98
10.5 扇出负载98
10.6 负载99
10.6.1 净电容99
10.6.2 调整引脚负载99
10.6.3 负载类型100
10.6.4 负载和扇出负载100
10.6.5 输入负载101
10.7 小结101
第11章 虚假路径102
11.1 简介102
11.2 set_false_path102
11.3 路径规格103
11.4 过渡过程规格105
11.5 建立保持规格
內容試閱
前言在当今的深亚微米世界中,对于设计人员而言,时序已经成为开发专用集成电路(ASIC)或片上系统(SoC)的重要挑战。设计工程师在设计流程的不同阶段之间进行多次循环迭代,以满足时序要求。时序不仅是芯片的响应时间,还是芯片功能的一个组成部分,可以确保其能够与系统上其他元器件无缝地通信。这就提出了问题,什么是时序?如何指定它?本书是一本实践指南,有助于读者编写和理解集成电路设计中的时序约束。通过本书读者将学习到如何有效和正确地编写约束,以便实现IC或FPGA设计的期望性能,包括关于约束重用的注意事项。其覆盖范围包括受时序约束影响的设计流程的关键方面,有综合、静态时序分析以及布局布线。本书详细说明了指定时序要求所需要的概念,然后将其应用于设计流程中的特定阶段,所有这些都包含在Synopsys设计约束(SDC)的上下文中,SDC是业界领先的用于指定约束的格式。
我们经常从许多设计工程师那里听到有一些书中介绍了综合和静态时序分析等概念,这些概念涵盖了时序约束,但从来没有详细描述过。根据我们多年在时序特性描述、延迟计算、时序分析以及创建和验证约束等领域的工作,本书介绍了指定时序要求所需的概念。
本书结构下面是本书的结构安排。
第1~3章介绍时序分析的主题,包括其在设计周期背景下的需求。这些章节中的描述是与供应商、语言和格式无关的。
第4章提供Tcl语言的概述,因为SDC(Synopsys设计约束)是Tcl的扩展。本章还介绍SDC的概念。
可以将前4章看作入门部分。
第5~8章一同构成讨论时钟的部分,介绍如何应用与时钟相关的约束。这些章介绍各种时钟及其关系,以及如何在SDC中指定它们。
第9章和第10章介绍如何对其余的(非时钟)端口应用约束。有了这部分内容,就覆盖了所有的主要端口。
第11~13章介绍对时序异常的需求。这些章介绍如何在SDC中正确地指定异常。
第14章和第15章涉及更专业的话题,这些概念较少论及个别的约束。相反,它们深入了解设计团队是如何管理大量的约束的,因为它们在跨流程时从前端到后端,将完整的设计划分成电路单元,然后再整合各个电路单元。
第16章介绍一些其他的SDC命令,这些命令可能会对时序分析产生影响。
本书还有一些命令没有涵盖。但是,通过本书可以对时序分析和SDC有基本了解,用户可以轻松理解其余的任何命令,包括SDC未来版本中可能出现的任何扩展。
支持SDC的大多数工具通常还允许对SDC进行一些扩展,以便使用特定工具实现更高的准确性或更好的易用性。第17章概述针对Xilinx Vivado扩展的SDC时序约束。
其他资源SDC是由Synopsys公司发布的开源版本。SDC文档和解析器可从Synopsys网站免费下载。
意见反馈我们已尽最大努力来提供准确的概念描述。也得到了业内一些专家的帮助,以检查素材的准确性。但是,如果你发现一些描述混乱或有错误的地方,请告知我们。
祝阅读愉快!
Sanjay ChuriwalaSridhar Gangadharan致 谢 一我要感谢Mark Aaldering,他首先告诉我需要一本关于SDC的书。他介绍说,越来越多的工具正在转向SDC,却没有关于这个主题的书籍。随着Xilinx接纳了SDC,将有更多Xilinx FPGA的用户需要学习SDC。
还要感谢我的朋友Sridhar Gangadharan,感谢他为编写本书所做的努力。
感谢Springer的Charles Glaser,因为他很容易地接受了我们对这本书的提议。
我想把这本书献给那些帮我理解SDC和时序约束的人,具体是我受益于他们在我职业生涯的不同阶段对于时序分析主题的大量讨论。这个列表并不详尽,一些著名的人包括Fred Revenu (Xilinx)、Greg Daughtry (Xilinx)、Nupur Gupta (ST Microelectronics)、Pankaj Jain (ST Microelectronics)、Olivia Riewer (ST Microelectronics)、K A Rajagopalan (Texas Instruments)、Subrangshu (Shubro) Das (Texas Instruments)、Satish Soman (Atrenta)、Manish Goel (Atrenta)、Shaker Sharwary (Atrenta) Pratyush Prasoon (Cadence)、Sneh Saurabh (Cadence), Girjesh Soni (Synopsys), Rohan Gaddh (目前,回到学校),等等。
我要感谢我所有的老师,但特别要提到印度理工学院的Swapna Banerjee教授,他在我即将毕业之时带领我进入了VLSI领域。在20世纪90年代初,Dhiraj Sogani(Red Pine)、Mithilesh Jha(Masamb)、Ashutosh Varma(Cadence)和Late Nalyder Jain博士带领我进入了时序领域。 然后, 2000年初,Sushil Gupta(Atrenta)和Vivek Gupta首先带领我进入了SDC领域。
感谢Girjesh Soni(Synopsys)、Subrangshu(Shubro)Das(Texas Instruments)、Satish Soman(Atrenta)、Shrinivasraj Muddey(Xilinx)、Olivier Florent、Ravi Balachandran和Russell Roan(Atrenta),因为他们帮助审阅了本书的部分内容,确保了素材的准确。也要感谢编写本书第17章的Fred Revenu(Xilinx)。还要感谢Charu Puri,他提供了本书中的所有插图。
我要感谢管理部门和Xilinx的法律团队鼓励我参与这项活动。这些人包括Mark Aaldering、Salil Raje、Vidya Rajagopalan、Scott Hover Smoot和Sue Lynn Neoh。Ramine Roane(Xilinx)也经常鼓励我。他唯一的抱怨是:为什么是在2013年中期?为什么不早一点?特别要感谢Mike Gianfagna(Atrenta),他参与和联系的此类任何活动几乎都是确保所有障碍得到解决的保证。
编写本书的信心来源于我以前出版的书得到的积极反响。我得到的最好的反响来自Ramesh Dewangan(Atrenta),他送给了他女儿一本,也来自Ahmed Hemani教授(瑞典皇家理工学院),他把这本书推荐给了学生。在印度,如果人们非常认可一本书,并且认为他的学生或孩子也应该学习这本书,这便是对这本书最佳的褒奖之一。感谢他们对我的这种信任。
最后很重要的一点是感谢我的家人和朋友。他们的通力配合和良好祝愿一直是我前进的的动力。而且,我的小女儿(Lubha Churiwala – Std. VI)还帮助我随机检查语法和一致性。
Sanjay Churiwala印度海德拉巴

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.