登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年08月出版新書

2024年07月出版新書

2024年06月出版新書

2024年05月出版新書

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

『簡體書』可编程逻辑器件与EDA技术

書城自編碼: 3117670
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 丁山
國際書號(ISBN): 9787111583752
出版社: 机械工业出版社
出版日期: 2018-01-01
版次: 1
頁數/字數: 280/437000
書度/開本: 16开 釘裝: 平装

售價:NT$ 293

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
吞海.大结局
《 吞海.大结局 》

售價:NT$ 286.0
碰撞与转型
《 碰撞与转型 》

售價:NT$ 2018.0
行动红利:用行动告别低效、摆脱拖延,享受人生的红利
《 行动红利:用行动告别低效、摆脱拖延,享受人生的红利 》

售價:NT$ 307.0
改变我们的道路——大疫后的人类及其世界
《 改变我们的道路——大疫后的人类及其世界 》

售價:NT$ 354.0
《周髀算经》新论·译注
《 《周髀算经》新论·译注 》

售價:NT$ 359.0
红楼有三味
《 红楼有三味 》

售價:NT$ 302.0
南宋行暮:宋光宗宋宁宗时代(增订本)
《 南宋行暮:宋光宗宋宁宗时代(增订本) 》

售價:NT$ 458.0
算力芯片——高性能 CPU/GPU/NPU 微架构分析
《 算力芯片——高性能 CPU/GPU/NPU 微架构分析 》

售價:NT$ 671.0

內容簡介:
本书根据以提高工程设计能力为目的,深入浅出的对EDA技术、VHDL硬件描述语言、FPGA开发应用及相关知识做了系统和完整的介绍,使读者通过本书的学习与实验,能初步了解和掌握EDA的基本内容及实用技术。本书包括EDA的基本知识、常用EDA工具的使用方法和目标器件的结构原理、以向导形式和实例为主的方法介绍多种不同的设计方法、对VHDL的设计优化以及基于EDA技术的典型设计项目。各章都安排了习题和针对性较强的实验与设计。书中例举的大部分VHDL设计实例和试验实现EDA工具平台是Vivado集成开发环境,硬件平台是Basys3Artix—7FPGA开发平台。并在EDA实验系统上通过硬件测试。本书可作为高等院校电子工程、通信、工业自动化、计算机应用技术、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、EDA技术课程和VHDL硬件描述语言的教材及实验指导书,同时也可以作为相关专业人员的自学参考书。
目錄
前 言
第1 章 绪论1
1. 1 EDA 技术概要1
1. 1. 1 EDA 技术的含义1
1. 1. 2 EDA 技术的发展历程1
1. 1. 3 EDA 的基本特征3
1. 1. 4 EDA 技术的优势5
1. 2 EDA 技术的实现目标7
1. 3 硬件描述语言8
1. 3. 1 VHDL 8
1. 3. 2 Verilog HDL 9
1. 3. 3 VHDL 和Verilog HDL 的比较9
1. 4 常用的EDA 工具10
1. 4. 1 设计输入编辑器11
1. 4. 2 综合器11
1. 4. 3 仿真器13
1. 4. 4 适配器13
1. 4. 5 编程下载14
1. 5 EDA 的工程设计流程14
1. 6 Vivado 概述17
1. 7 EDA 技术的发展趋势17
本章小结19
习题19
第2 章 CPLD 与FPGA 的结构原理20
2. 1 PLD 概述20
2. 1. 1 PLD 入门20
2. 1. 2 常见的PLD 21
2. 1. 3 PLD 的优点22
2. 1. 4 PLD 的发展趋势23
2. 2 简单PLD 的结构原理24
2. 2. 1 逻辑符号表示方法25
2. 2. 2 PROM 的结构原理25
2. 2. 3 PLA 的结构原理26
2. 2. 4 PAL 的结构原理26
2. 2. 5 GAL 的结构原理31
2. 3 CPLD 的结构原理35
2. 3. 1 CPLD 的基本结构35
2. 3. 2 基于乘积项的可编程逻辑器件36
2. 4 FPGA 的结构原理39
2. 4. 1 查找表型FPGA 的逻辑结构41
2. 4. 2 Xilinx 公司7 系列FPGA 简介43
2. 4. 3 FPGA 与CPLD 的区别47
2. 5 硬件测试48
2. 6 CPLD FPGA 的编程与配置49
2. 7 Basys3 开发板介绍50
2. 7. 1 电源电路51
2. 7. 2 LED 灯电路和数码管电路51
2. 7. 3 按键电路和拨码开关电路52
2. 7. 4 VGA 显示电路和I O 扩展电路53
本章小结53
习题54
第3 章 VHDL 入门基础55
3. 1 VHDL 的基本结构55
3. 1. 1 实体56
3. 1. 2 结构体57
3. 1. 3 库、程序包和配置57
3. 2 VHDL 的文字规则59
3. 2. 1 数字型文字60
3. 2. 2 字符串型文字60
3. 2. 3 标识符60
3. 2. 4 下标61
3. 3 VHDL 的数据对象62
3. 3. 1 常数62
3. 3. 2 变量62
3. 3. 3 信号62
3. 3. 4 文件63
3. 4 VHDL 的数据类型63
3. 4. 1 VHDL 预定义数据类型64
3. 4. 2 用户自定义数据类型67
3. 4. 3 数据类型间的转换68

3. 5 VHDL 的操作符69
3. 6 VHDL 预定义属性73
本章小结75
习题76
第4 章 VHDL 硬件描述语言77
4. 1 进程语句77
4. 2 赋值语句78
4. 3 顺序描述语句80
4. 3. 1 IF 语句80
4. 3. 2 CASE 语句82
4. 3. 3 LOOP 语句83
4. 3. 4 NEXT 语句和EXIT 语句84
4. 3. 5 WAIT 语句85
4. 3. 6 RETURN 语句86
4. 3. 7 NULL 语句87
4. 4 元件例化语句87
4. 5 生成语句90
4. 6 块语句91
4. 7 程序包和配置93
4. 7. 1 程序包93
4. 7. 2 配置94
4. 8 子程序95
4. 8. 1 过程96
4. 8. 2 函数97
4. 9 其他语句99
4. 10 常用设计举例100
4. 10. 1 结构体的三种描述方式100
4. 10. 2 组合逻辑电路设计102
4. 10. 3 时序逻辑电路设计107
本章小结113
习题113
第5 章 有限状态机设计114
5. 1 概述114
5. 1. 1 状态机的特点114
5. 1. 2 状态机的分类115
5. 2 VHDL 状态机的一般形式116
5. 2. 1 一般状态机的结构116
5. 2. 2 状态机的设计流程118
5. 2. 3 状态机的状态转移图描述118
5. 2. 4 状态机的状态说明部分119
5. 3 Moore 型状态机的设计120
5. 3. 1 单进程Moore 型状态机120
5. 3. 2 多进程Moore 型状态机122
5. 4 Mealy 型状态机的设计125
5. 5 状态编码127
5. 5. 1 顺序编码127
5. 5. 2 枚举类型编码128
5. 5. 3 状态位直接输出型编码128
5. 5. 4 一位热码编码129
5. 6 安全状态机设计129
5. 6. 1 程序直接导引法129
5. 6. 2 状态编码检测法130
本章小结130
习题130
第6 章 VHDL 优化设计132
6. 1 资源优化132
6. 1. 1
內容試閱
随着半导体产业进入深纳米的时代. 可编程逻辑器件向高密度、高速度、低价格方向迅速发展. EDA 技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出.目前EDA 技术已经成为电子信息类专业一门重要的专业基础课程. 是电子信息类专业学生必须掌握的专业基础知识和基本技能. 为了使学生掌握EDA 基本设计工具和设计方法. 在EDA 开发软件上本书使用在FPGA 市场占有率第一的Xilinx 公司推出和发布的Vivado 设计套件. 该套件是一款基于业界标准的开放式开发环境. 可以利用Xilinx 公司推出的领先一代的硬件、软件和I O 全面可编程的SoC - Zynq7000 系列实现数字系统、DSP 系统和嵌入式系统的设计. 作者力图将EDA 技术最新发展成果、现代电子设计最前沿理论和技术、国际上业界普遍接受和认可的EDA 软硬件开发平台的使用方法奉献给广大读者.本书力求全面、实用. 对例题做到详细分析和解释. 既可以帮助读者学习理解知识和概念. 降低学习难度. 又具有启发性. 帮助读者更加轻松、迅速地理解和掌握本书内容.本书在内容的组织上共分14 章. 各章的具体内容如下:第1 章为绪论. 主要概述了EDA 技术及其重要性. EDA 包含的知识体系结构. 如HDL、EDA 的工作软件等. 比较了传统电子设计方法与EDA 技术各自的特点. 同时对EDA技术的发展历程、特点和优势. 以及利用EDA 进行工程设计的流程进行了简要介绍.第2 章主要介绍了可编程逻辑器件的基本结构和工作原理. 以及相关的编程、测试和配置方法. 首先对可编程逻辑器件进行了概述. 主要介绍了可编程逻辑器件的发展历史. 并对可编程逻辑器件通过不同的划分方式进行了分类. 接着重点介绍了高密度可编程逻辑器件CPLD 和FPGA 的结构原理和工作特点. 然后详细地阐述了JTAG 边界扫描技术的硬件测试原理. 并对CPLD 的编程方法和配置方式进行了介绍. 最后介绍了本书使用的Basys3 开发板.第3 章主要讲述了VHDL 语言的基本语法知识. 是使用VHDL 进行EDA 设计的基础.首先介绍了VHDL 程序的基本结构. 一个完整的VHDL 设计由库、程序包、实体、结构体和配置组成. 其中实体和结构体是基本组成部分. 然后介绍了VHDL 语言中的文字规则、数据对象、数据类型和操作符等内容. 最后介绍了VHDL 中预定义的属性.第4 章主要讲述了VHDL 语句的基本内容. VHDL 中的语句可以分为两大类: 顺序语句和并行语句. 在此基础上介绍了各种语句的语法以及使用方法、程序包的构成和配置、子程序的概念及其使用方法. 并给出常用设计举例.第5 章主要讲述了有限状态机的基本概念、特点和基本结构等基础内容. 在此基础上.对Moore 型状态机和Mealy 型状态机的结构、特性和设计方法进行了详细的举例说明. 然后介绍了状态位置直接输出型编码、顺序编码、枚举类型编码及一位热码编码四种不同的状态编码方式. 以及程序直接导引法及状态编码检测法两种安全状态机的设计方法. 最后比较全面地对有限状态机进行了介绍.第6 章介绍了EDA 的硬件系统设计中VHDL 的优化设计. 首先介绍了FPGA CPLD 的Ⅳ资源利用优化. 资源优化主要包括资源共享、逻辑优化和串行化. 由于对于大多数的设计来说. 速度优化比资源优化更重要. 所以介绍了速度优化. 并依次阐述了流水线设计、寄存器配平、关键路径法、乒乓操作法和加法树法. 最后详细介绍了如何排除和避免毛刺或随机干扰信号. 主要包括延时方式去毛刺、逻辑方式去毛刺和定时方式去毛刺.第7 章介绍了Vivado 设计套件的基本知识以及Vivado 设计套件的界面信息. 首先. 简单介绍了Vivado 设计套件的特性. 其次介绍了使用Vivado 设计套件的系统级设计流程. 然后介绍了Vivado 设计套件的安装过程. 之后介绍了各个工程文件夹存放的文件类型以及网表文件的相关知识. 最后介绍了Vivado 设计套件的基本界面信息.第8 章介绍了在Vivado 集成开发环境的工程模式下设计工程的基本设计实现流程. 工程模式下的基本设计实现主要步骤包括: 创建一个新的设计工程、创建并添加新的设计文件、RTL 详细描述和分析、设计综合、行为级仿真、建立约束、设计实现和分析、静态时序分析、设计时序仿真以及生成编程文件并下载到目标芯片.第9 章介绍了Vivado 集成设计环境下创建和封装用户IP 的基本流程. 创建和封装用户IP的主要步骤包括: 创建一个用于定制用户IP 的工程、设置定制IP 的库名和目录以及封装IP.第10 章介绍了在Vivado 集成开发环境下基于IP 的简单系统的设计实现流程. 基于IP的系统设计实现主要步骤包括: 创建一个新的设计工程、创建基于IP 的系统、行为级仿真、设计综合、建立约束、设计实现和分析、静态时序分析、设计时序仿真以及生成编程文件并下载到目标芯片.第11 章介绍了如何使用Vivado 集成开发环境和Basys3 开发板设计实现键控流水灯实验的设计. 首先介绍了键控流水灯的设计要求和功能描述. 其次介绍了键控流水灯的层次化设计方案. 主要包括三部分内容. 分别是分频模块. 流水灯显示模块和按键控制模块. 最后对键控流水灯设计进行了硬件测试.第12 章介绍了抢答器实验设计. 首先介绍了抢答器的设计要求和功能描述. 其次制订了三人抢答器的层次化设计方案. 主要包括三部分内容. 分别是分频器模块、抢答鉴别器模块和数码管显示模块. 然后对抢答器进行了顶层设计和仿真. 最后对抢答器的设计进行了硬件测试.第13 章主要介绍了一个简单的数字钟实验设计. 首先介绍了数字钟的设计要求和功能描述. 然后介绍了数字钟的层次化设计方案. 主要包括三部分内容. 分别是分频器模块、计数模块和数码管显示模块. 之后对数字钟进行了顶层设计和仿真. 最后对本次设计进行了硬件测试.第14 章介绍了如何使用Vivado 集成开发环境和Basys3 开发板进行简单的UART 实验设计. 实验分为两部分. 一部分是接收器的设计实现. 另一部分是发送器的设计实现. 在两部分中分别介绍了接收器和发送器的层次化设计方案. 并对其进行了硬件测试.本书内容充实. 系统全面. 重点突出. 阐述循序渐进. 由浅入深. 书中所有例题均在Vivado 环境下运行通过. 本书配有免费的电子课件. 欢迎选用本书作为教材的教师登录www.. cmpedu.. com 下载或发邮件到wangkang ̄maizi9@126.. com 索取.参加本书编写、校对及程序测试工作的还有吴金辉、王辉等. 在此表示感谢.由于作者水平有限. 书中难免有错误和不足之处. 恳请各位专家和读者批评指正.编 者

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.