登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』FPGA数字系统设计与应用(郭明良)

書城自編碼: 3056225
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 郭明良 主编 常国祥,王欢 副主编
國際書號(ISBN): 9787122298430
出版社: 化学工业出版社
出版日期: 2017-09-01
版次: 1
頁數/字數: 178/284
書度/開本: 16开 釘裝: 平装

售價:NT$ 281

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
现代欧洲史:1500—1815
《 现代欧洲史:1500—1815 》

售價:NT$ 493.0
高颜值创意饮品:咖啡 茶饮 鸡尾酒 气泡水
《 高颜值创意饮品:咖啡 茶饮 鸡尾酒 气泡水 》

售價:NT$ 335.0
慢慢来,好戏都在烟火里
《 慢慢来,好戏都在烟火里 》

售價:NT$ 279.0
一间自己的房间
《 一间自己的房间 》

售價:NT$ 223.0
波段交易的高级技术:股票和期权交易者的资金管理、规则、策略和程序指南
《 波段交易的高级技术:股票和期权交易者的资金管理、规则、策略和程序指南 》

售價:NT$ 442.0
人,为什么需要存在感:罗洛·梅谈死亡焦虑
《 人,为什么需要存在感:罗洛·梅谈死亡焦虑 》

售價:NT$ 381.0
锁国:日本的悲剧
《 锁国:日本的悲剧 》

售價:NT$ 437.0
AI智能写作: 巧用AI大模型 让新媒体变现插上翅膀
《 AI智能写作: 巧用AI大模型 让新媒体变现插上翅膀 》

售價:NT$ 330.0

建議一齊購買:

+

NT$ 425
《 勇敢的芯伴你玩转Altera FPGA 》
+

NT$ 497
《 工程师自学笔记系列丛书:FPGA自学笔记——设计与验证 》
+

NT$ 403
《 FPGA芯片架构设计与实现 》
+

NT$ 324
《 手把手教你学FPGA设计——基于大道至简的至简设计法 》
+

NT$ 396
《 博客藏经阁丛书:深入浅出玩转FPGA(第3版) 》
+

NT$ 739
《 TMS320x2833x DSP原理及其C程序开发 》
編輯推薦:
1.本书先讲解语法,然后以实例讲解硬件描述语言,并给出仿真和实验波形,尽可能地减少FPGA硬件内部结构的讲解、注重应用。 2.本书的所有实例都是经过编程、下载以及调试过程,是作者多年的教学和科研实践的经验积累。
內容簡介:
本书内容包括FPGA的设计环境、设计流程和所使用的语言,QuartusⅡ集成开发环境,Modelsim仿真,VHDL语言基础,VHDL数据类型与运算操作符,VHDL的主要描述语句,利用FPGA进行程序设计的应用实例等。本书有很多实例分析,这些实例大都是以特定的工程项目为依托,具有一定的借鉴价值,可以帮助读者从系统角度理解FPGA的开发流程。本书可作为高等院校计算机专业本、专科生的教材或教学参考书,也可以作为电子技术课程设计、电子设计大赛或数字系统设计工程技术人员学习EDA 技术的参考书。
目錄
第1章概述001

1.1可编程逻辑器件001

1.2FPGACPLD的软件开发工具002

1.3硬件描述语言002

1.3.1AHDL语言002

1.3.2VerilogHDL语言002

1.3.3VHDL语言003

1.4FPGACPLD的开发流程003

第2章QuartusⅡ集成开发环境005

2.1QuartusⅡ界面概况005

2.2QuartusⅡ软件开发流程006

2.2.1创建工程006

2.2.2原理图输入方式008

2.2.3文本输入方式015

2.2.4波形仿真017

2.2.5引脚分配021

2.2.6编程下载021

2.3LPM参数化宏功能模块024

2.3.1LPM参数化宏功能模块定制管理器024

2.3.2LPM参数化宏功能模块的应用030

第3章仿真034

3.1Modelsim简介034

3.2安装034

3.3Modelsim仿真方法035

3.3.1前仿真035

3.3.2后仿真035

3.3.3Modelsim仿真的基本步骤036

3.3.4Modelsim的运行方式036

3.4Modelsim功能仿真036

3.4.1建立仿真工程038

3.4.2Altera仿真库的编译与映射039

3.4.3编译HDL源代码和Testbench041

3.4.4启动仿真器并加载设计顶层042

3.4.5打开观察窗口,添加信号044

3.4.6执行仿真045

3.5Modelsim时序仿真046

3.5.1仿真路径设置046

3.5.2QuartusⅡ仿真环境设置047

3.5.3利用QuartusⅡ编译源文件048

3.5.4生成测试模板并编写测试程序048

3.5.5执行仿真051

第4章VHDL语言基础054

4.1VHDL语言的特点054

4.2VHDL语言的程序结构055

4.3VHDL语言的库055

4.4VHDL语言的程序包057

4.5VHDL语言的实体058

4.5.1实体说明058

4.5.2实体的类属说明058

4.5.3实体的端口说明059

4.6VHDL语言的结构体060

4.7VHDL语言的配置062

第5章VHDL数据类型与运算操作符065

5.1VHDL的基本语法规则065

5.2VHDL语言的数据对象066

5.2.1常量(CONSTANT)066

5.2.2变量(VARIABLE)066

5.2.3信号(SIGNAL)067

5.2.4文件(FILES)068

5.3VHDL语言的数据类型068

5.3.1预定义的数据类型068

5.3.2用户自定义数据类型071

5.3.3数据类型的转换073

5.4VHDL语言的操作符075

5.4.1逻辑操作符076

5.4.2算术操作符076

5.4.3关系操作符077

第6章VHDL的主要描述语句079

6.1顺序描述语句079

6.1.1变量赋值语句079

6.1.2信号赋值语句080

6.1.3WAIT语句080

6.1.4IF语句082

6.1.5CASE语句085

6.1.6NULL语句087

6.1.7断言ASSERT语句087

6.1.8LOOP语句088

6.1.9NEXT语句090

6.1.10EXIT语句091

6.2并发描述语句092

6.2.1进程语句092

6.2.2并发信号赋值语句093

6.2.3并发过程调用语句095

6.2.4块(BLOCK)语句097

6.2.5元件例化语句098

6.2.6生成语句100

6.3属性描述与定义语句102

第7章应用实例111

7.1自动邮票售票机设计111

7.1.1自动邮票售票系统总体模块图的设计111

7.1.2票价设定模块的设计112

7.1.3邮票类型选择模块的设计113

7.1.4点阵票型显示模块的设计116

7.1.5邮票类型选择与票价设定模块的设计120

7.1.6邮票数量设定模块的设计121

7.1.7邮票购买模块的设计123

7.1.8数据转换模块的设计126

7.1.9动态扫描模块的设计127

7.1.10数码管显示模块的设计128

7.1.11综合设计129

7.2交通灯控制系统的设计130

7.2.1交通灯控制系统模块图130

7.2.2控制模块设计130

7.2.3显示模块设计135

7.2.4综合设计139

7.3八路抢答器的设计140

7.3.1主持人控制模块141

7.3.2抢答信号锁存模块142

7.3.3倒计时模块144

7.3.4二进制编码转BCD码模块146

7.3.5扫描信号产生模块147

7.3.6数码管位信号与段信号匹配模块147

7.3.7BCD码转七段码模块148

7.3.8报警模块149

7.3.9综合设计150

7.4数字频率计VHDL程序与仿真151

7.5乐曲硬件演奏电路设计155

7.5.1顶层设计156

7.5.2音调产生模块157

7.5.3音调查询158

7.5.4节拍和音符数据发生器模块159

7.5.5梁祝乐曲演奏数据160

7.6数控分频器的设计161

7.7状态机AD采样控制电路实现162

7.8比较器和DA器件164

7.9ASK调制解调VHDL程序及仿真165

7.9.1ASK调制VHDL程序及仿真165

7.9.2ASK解调VHDL程序及仿真166

7.10FSK调制与解调VHDL程序及仿真167

7.10.1FSK调制VHDL程序及仿真167

7.10.2FSK解调方框图及电路符号168

7.10.3FSK解调VHDL程序及仿真169

7.11多功能波形发生器VHDL程序与仿真171

参考文献178
內容試閱
近年来,随着电子技术、计算机应用技术和EDA技术的不断发展,利用FPGACPLD进行数字系统的开发已被广泛应用于交通运输、航空航天、精密仪器、医疗电子、工业控制等各领域。FPGACPLD具有功能强大、开发周期短以及产品集成度高、易于修改等特点,并且FPGACPLD本身发展非常迅速,高密度、高性能、低成本的FPGA器件推陈出新,也促进了FPGACPLD成为当今硬件设计的首选方式之一。熟练掌握FPGACPLD设计技术已经是电子设计工程师的基本要求。VHDL语言作为国际标准的硬件描述语言,已经成为工程技术人员和高校学生的必备技能。本书例子中的文本编辑均采用VHDL语言编写,书中所有实例都已通过仿真和硬件测试。全书根据EDA应用技术,特别是结合FPGA应用领域包含的主要内容编写,并以实例的形式介绍了Altera公司推出的QuartusⅡ13.1设计平台以及Modelsim10.0c仿真平台。本书由浅入深,先介绍FPGA设计流程,然后介绍开发平台、仿真平台、VHDL语言,最后讲解了典型的应用实例。本书分7章,其中第1章介绍了什么是FPGA,FPGA设计的环境,设计流程,所使用的语言等;第2章介绍了FPGA的开发平台,主要讲解Altera的QuartusⅡ13.1,本章图文并茂,以简单的实例为主,重点讲述使用软件的完整开发流程,包括输入、编译、仿真以及下载;第3章介绍了Modelsim10.0c仿真平台,介绍了使用Modelsim10.0c进行功能仿真与时序仿真,学完本章即可完成基本的仿真,Modelsim功能十分强大,由于篇幅的限制,不做进一步讲解;第4章介绍了VHDL的语言基础,以简单的实例说明VHDL程序的基本组成;第5章介绍了VHDL的数据类型与运算操作符,为后续程序奠定基础;第6章介绍了VHDL的主要描述语句;第7章是实例,详细介绍了如何利用FPGA进行程序设计,既有设计原理,也有程序说明,并在最后给出仿真结果。本书是编者在FPGA学习和实践中的经验点滴,书中既有日常的学习笔记,对一些常用设计技巧和方法进行深入探讨,也有很多生动的实例,这些实例大都是以特定的工程项目为依托,具有一定的借鉴价值,还有多个完整的项目工程实例,让读者从系统角度理解FPGA的开发流程。本书从工程实践出发,旨在引领读者学会如何在FPGA的开发设计过程中发现问题、分析问题并解决问题。本书所有程序都是测试过的,读者如果需要可发电子邮件至yj74615@163.com联系索取相应资料。本书可用作高等院校计算机专业本、专科生的教材或教学参考书,也可以作为电子技术课程设计、电子设计大赛或数字系统设计工程技术人员学习EDA技术的参考书。本书由郭明良任主编,常国祥、王欢任副主编,书中第1章、第2章、第4章、第5章由王欢编写;第6章以及第7章的7.8~7.10节由杨立新编写,第7章的示例7.4~7.7节、7.11节由郭明良编写,第3章与第7章7.1~7.3节由常国祥编写,全书由常国祥主审。由于水平有限,书中难免有不足之处,敬请读者批评指正。编者

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.