登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』模拟集成电路与数字集成电路设计工具实用教程

書城自編碼: 3055554
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 韩雁
國際書號(ISBN): 9787121320248
出版社: 电子工业出版社
出版日期: 2017-08-01
版次: 1
頁數/字數: 400/
書度/開本: 128开 釘裝: 平装

售價:NT$ 468

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
非洲三万里(2024版)
《 非洲三万里(2024版) 》

售價:NT$ 381.0
不思而美:一个人的心灵简史带你美学漫步 让美成为修正生活的意志 从细节中看见大江大河 助你找到更多打开自己的可能
《 不思而美:一个人的心灵简史带你美学漫步 让美成为修正生活的意志 从细节中看见大江大河 助你找到更多打开自己的可能 》

售價:NT$ 325.0
成为作家
《 成为作家 》

售價:NT$ 269.0
工作文化史 古代卷
《 工作文化史 古代卷 》

售價:NT$ 381.0
像亚马逊一样思考
《 像亚马逊一样思考 》

售價:NT$ 442.0
中国震撼
《 中国震撼 》

售價:NT$ 403.0
以讹传讹:错误信息如何传播
《 以讹传讹:错误信息如何传播 》

售價:NT$ 437.0
大学问·生活中的意义
《 大学问·生活中的意义 》

售價:NT$ 442.0

編輯推薦:
◎提供配套电子课件、仿真程序源文件等;◎从应用的角度引导读者学习、掌握软件的使用;◎选取典型的工具,每部分的主体设计流程均经过流片和测试验证;◎实例都取自实际科研和教学项目,具有一定的代表性和实用性。
內容簡介:
本书结合多年的集成电路设计和CADEDA工具使用经验编写,辅以不同的设计实例和流程,介绍相应的典型工具的使用。本书分为三个部分,共18章。*部分(第1~5章)是模拟集成电路设计工具及使用,主要内容包括:电路仿真工具软件使用,设计实例基准源、噪声、开关电容设计及验证,版图绘制及其工具软件,版图验证与后仿真,设计所需规则文件的详细说明。第二部分(第6~13章)是数字集成电路设计工具及使用,主要内容包括:系统级建模与数模混合仿真,数字电路设计与Verilog HDL,硬件描述语言的软件仿真与FPGA硬件验证,逻辑综合与Design Compiler,自动布局布线及Astro,布局布线工具IC Compiler,数字集成电路设计的验证方法,可测性设计及可测性设计软件使用。第三部分(第14~18章)是Linux操作系统及其他相关知识,主要内容包括:Linux系统常用命令,Memory Compiler软件Embed-It Integrator使用方法,数字IC功耗分析工具PTPX使用方法,流片前的Check List,集成电路设计领域常用英文缩略语。本书提供配套电子课件、仿真程序源文件等。本书可以作为微电子及相关专业的研究生和高年级本科生的集成电路课程的参考教材,也适合于作为集成电路领域的科研人员和工程师的参考资料。
關於作者:
韩雁:博士、教授、博导。浙江大学微电子与光电子研究所副所长。1982年毕业于浙大半导体器件专业。长期从事微电子学与集成电路设计相关领域的教学、科研工作。中国半导体行业协会IC分会理事、浙江省电源学会常务理事、半导体行业协会常务理事。从事微电子学科及集成电路设计、功率器件设计方向的教学、科研工作,承担过国家863 IC设计重大专项、国家科技重大专项(核高基)、国家自然科学基金、教育部博士点基金、浙江省自然科学基金、重大横向课题、海外合作项目在内的近50项科研项目。
目錄
目录

第一部分模拟集成电路设计工具及使用1
第1章电路仿真工具软件使用3
1.1Cadence电路仿真工具包3
1.1.1Cadence设计环境简介3
1.1.2电路图输入工具Virtuoso Schematic Composer5
1.1.3仿真环境工具Analog Design Environment6
1.1.4仿真结果的显示及处理9
1.1.5建立子模块10
1.1.6示例(D触发器)11
1.2Hspice的使用13
1.2.1Hspice简介13
1.2.2*.sp文件的生成14
1.2.3Hspice的运行与仿真14
1.3Ultrasim仿真技术15
1.3.1Ultrasim技术简介15
1.3.2Ultrasim仿真环境设置16
1.4芯片封装的建模与带封装信息的仿真19
1.4.1Modeling RF IC Packages简介19
1.4.2PKG的具体使用19
第2章设计实例基准源、噪声、开关电容设计及验证24
2.1电压基准源设计24
2.1.1电压基准源简介24
2.1.2电压基准源分类24
2.1.3实现带隙基准源的原理25
2.1.4基准源启动电路26
2.1.5基准源噪声27
2.1.6基准源输出驱动27
2.1.7基准源计算机仿真28
2.1.8基准源的版图设计31
2.2CMOS集成电路噪声分析及仿真31
2.2.1噪声类型32
2.2.2噪声分析方法33
2.2.3连续时间系统的噪声仿真步骤34
2.3开关电容电路仿真36
2.3.1开关电容电路背景知识36
2.3.2开关电容电路的精度37
2.3.3使用双相无交叠时钟的开关电容电路的分析方法38
2.3.4开关电容电路的Cadence仿真方法39
2.3.5开关电容电路频率响应仿真40
2.3.6开关电容电路的噪声仿真42
第3章版图绘制及其工具软件49
3.1典型CMOS工艺流程简介49
3.2设计规则简介52
3.3Virtuoso软件简介及使用53
3.3.1Virtuoso软件启用53
3.3.2Virtuoso中快捷键的使用56
3.3.3反相器版图绘制举例57
3.3.4PDK简介59
3.4Laker软件简介及使用62
3.4.1Laker使用时需要的文件62
3.4.2Laker软件启用及主窗口63
3.4.3Laker基本的版图编辑功能介绍63
3.4.4Laker特有的高级版图编辑功能介绍66
3.4.5原理图驱动的版图编辑67
3.4.6设计实例68
3.5版图设计中的相关主题73
3.5.1天线效应73
3.5.2Dummy的设计73
3.5.3Guard Ring的设计75
3.5.4Match的设计76
第4章版图验证与后仿真78
4.1版图验证与后仿真简介78
4.2Diva验证工具79
4.2.1Diva DRC规则文件79
4.2.2Diva版图提取文件81
4.2.3LVS文件的介绍83
4.2.4寄生参数提取文件83
4.2.5Diva的使用84
4.3Calibre验证工具88
4.3.1Calibre规则文件88
4.3.2Calibre的用法89
4.3.3数模混合电路LVS的操作方法94
第5章设计所需规则文件的详细说明96
5.1完整的Diva DRC、Extract、LVS规则文件96
5.1.1Diva DRC规则文件96
5.1.2Diva Extract规则文件97
5.1.3Diva LVS规则文件98
5.2Diva 层次处理语句的图文解释99
5.2.1逻辑命令99
5.2.2关系命令101
5.2.3选择命令104
5.2.4尺寸命令105
5.2.5层生成命令106
5.2.6存储命令107
5.3Diva中DRC和寄生参数提取语句107
5.3.1Diva DRC语句107
5.3.2Diva寄生参数提取语句110
第二部分数字集成电路设计工具及使用113
第6章系统级建模与数模混合仿真116
6.1MATLAB简介117
6.2MATLAB的Toolboxes117
6.2.1数字信号处理118
6.2.2滤波器设计118
6.2.3Link For ModelSim119
6.3MATLAB的编程122
6.4Simulink仿真基础123
6.4.1Simulink简介123
6.4.2Simulink的模块124
6.4.3Simulink仿真参数的设定124
6.4.4Simulink系统仿真的简单实例125
6.5Verilog-A简介130
6.6Verilog-A的编程130
6.6.1基本语法131
6.6.2基本表达式132
6.6.3模拟运算符132
6.6.4Verilog-A仿真133
6.7Verilog-A建模实例133
6.7.1反相器133
6.7.2利用Cadence中的向导产生模拟模块136
6.8SpectreVerilog混合信号仿真137
6.8.1SpectreVerilog仿真简介137
6.8.2创建模拟模块137
6.8.3创建数字模块138
6.8.4设置仿真配置文件138
6.8.5设置和检查模块划分139
6.8.6设置数模接口141
6.8.7设置仿真菜单及仿真结果141
第7章数字电路设计与Verilog HDL143
7.1HDL设计方法学简介143
7.1.1数字电路设计方法143
7.1.2硬件描述语言143
7.1.3设计方法学144
7.1.4Verilog HDL简介144
7.2Verilog HDL建模概述146
7.2.1模块146
7.2.2时延148
7.2.3三种建模方式148
7.3Verilog HDL基本语法151
7.3.1标识符151
7.3.2注释152
7.3.3格式152
7.3.4数字值集合152
7.3.5数据类型154
7.3.6运算符及表达式155
7.3.7条件语句159
7.3.8case语句160
7.4结构建模161
7.4.1模块定义161
7.4.2模块端口161
7.4.3实例化语句161
7.5数据流建模162
7.5.1连续赋值语句163
7.5.2阻塞赋值语句163
7.5.3非阻塞赋值语句164
7.5.4数据流建模具体实例165
7.6行为建模166
7.6.1简介166
7.6.2顺序语句块166
7.6.3过程赋值语句166
7.7可综合设计168
7.7.1设计准则168
7.7.2进程划分准则169
7.7.3可综合子集169
7.7.4可综合设计中的组合电路设计169
7.7.5可综合设计中的时序电路设计169
第8章硬件描述语言的软件仿真与FPGA硬件验证170
8.1ModelSim的使用170
8.1.1ModelSim的启动170
8.1.2ModelSim仿真流程171
8.1.3编译工艺资源库173
8.1.4调试173
8.1.5ModelSim仿真小结174
8.2NC-Verilog的使用174
8.2.1ncvlog命令174
8.2.2ncelab命令176
8.2.3ncsim命令177
8.2.4NC-Verilog仿真小结178
8.3用Debussy调试仿真结果178
8.4HDL仿真总结180
8.5FPGA硬件验证180
8.5.1FPGA基本组成180
8.5.2FPGA设计流程181
8.5.3FPGA下载配置184
第9章逻辑综合与Design Compiler191
9.1逻辑综合综述191
9.2用Design Compiler综合电路192
9.2.1Design Analyzer的启动193
9.2.2设计读入194
9.2.3链接196
9.2.4实例唯一化197
9.2.5设计环境197
9.2.6设计约束202
9.2.7设计的逻辑综合206
9.2.8逻辑综合结果的分析207
9.2.9逻辑综合结果保存209
9.2.10时序约束文件的导出210
9.3Synplify的使用方法210
9.3.1Synplify概述210
9.3.2Synplify设计流程210
9.3.3Synplify文件类型总结213
9.4逻辑综合总结213
第10章自动布局布线及Astro214
10.1Astro简介214
10.2数据准备214
10.2.1库文件214
10.2.2工艺文件217
10.2.3设计文件217
10.3利用Astro进行布局布线的设计流程218
10.3.1工具启动219
10.3.2创建设计库219
10.3.3读入网表文件220
10.3.4打开设计库和设计单元221
10.3.5布图规划222
10.3.6布局229
10.3.7时钟树综合235
10.3.8布线前的电源地线检查240
10.3.9布线240
10.3.10可制造性设计处理246
10.3.11版图验证246
10.3.12数据输出247
第11章布局布线工具IC Compiler249
11.1IC Compiler简介249
11.2ICC后端设计须知250
11.2.1后端设计中常用文件的格式说明250
11.2.2IO库与标准单元库中的特殊单元251
11.2.3ICC中的静态时序分析252
11.2.4ICC中的MCMM256
11.3利用ICC进行布局布线的设计流程258
11.3.1设计准备258
11.3.2布图规划265
11.3.3布局276
11.3.4时钟树综合279
11.3.5布线286
11.3.6DFM(可制造性设计)289
11.3.7版图验证295
11.3.8数据输出296
11.3.9ECO297
第12章数字集成电路设计的验证方法299
12.1OVM验证方法学介绍299
12.2验证工具QuestaSim软件介绍302
12.3使用OVM搭建验证环境307
12.4随机验证315
12.5形式验证及Formality软件使用方法318
12.5.1设置Reference Design320
12.5.2设置Implementation Design322
12.5.3设置环境323
12.5.4Match324
12.5.5Verify324
12.5.6Debug325
12.5.7形式验证中所用的脚本及代码325
12.6静态时序验证及PrimeTime软件使用方法328
12.6.1静态时序验证328
12.6.2静态时序分析原理329
12.6.3基于PrimeTime的静态时序分析330
第13章可测性设计及可测性设计软件使用336
13.1可测性设计基础336
13.1.1测试336
13.1.2可测性设计336
13.1.3故障模型336
13.1.4自动测试矢量生成338
13.1.5可测性设计的常用方法338
13.2使用DFTC进行可测性设计340
13.2.1Synopsys的DFT流程340
13.2.2DFT扫描链插入342
13.2.3Synopsys Adaptive Scan压缩344
13.3使用TetraMAX进行ATPG生成344
13.3.1TetraMAX的图形界面344
13.3.2TetraMAX的基本流程345
13.3.3ATPG测试向量生成346
13.4DFT设计实例348
13.4.1设计代码编写348
13.4.2综合并插入扫描链的过程349
13.4.3ATPG自动测试矢量生成351
第三部分Linux操作系统及其他1
內容試閱
前 言
集成电路是电子工业的基础,以集成电路为基础的电子信息产业的发展,对国民经济发展、产业技术创新能力提高及现代国防建设都具有极其重要的作用,而集成电路设计业则是集成电路产业链中的核心。随着集成电路技术的发展,集成电路设计的手段也经历了从手工设计、到计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE),在20世纪90年代开始逐步发展到电子设计自动化(Electronics Design Automation,EDA)阶段。CADEDA工具已经成为当今集成电路设计和制造流程中的必不可少的工具。
技术进步伴随着设计复杂性的增加,导致了CADEDA工具的功能也越来越强大,对集成电路设计工程师和科研人员提出了更高的要求。他们不但要有足够的数学、物理、器件、电路、工艺的知识,还要投入相当的精力学习、熟悉CADEDA工具和系统的使用。不同CAD EDA工具提供的手册因其系统的复杂性及不是用中文阅读者母语编写的,会给没有相应经验指导的初学者带来很大的困难。已经出版的CADEDA书籍大多都是关于电子系统级应用或是针对超大规模集成电路的设计方法学,而针对模拟集成电路设计、数字模拟及数模混合集成电路设计和生产制造相关的CADEDA工具的指导书籍却很少。基于这个原因,编著者结合多年的集成电路设计和CADEDA工具使用经验,编写了本书,辅以不同的设计实例和流程,介绍相应的典型工具的使用。
本书分为三个部分,共18章。第一部分(第1~5章)以不同的设计实例介绍了模拟集成电路设计工具的应用,以Cadence设计流程中的工具为主,同时也介绍业界常用的Synopsys的Hspice电路仿真工具、Mentor Graphics的Calibre版图验证工具及SpringSoft公司的Laker软件的使用。第二部分(第6~13章)为数字集成电路的设计工具使用教程,分别介绍了用MATLAB进行系统级验证、使用ModelSim和NC-Verilog进行HDL描述和仿真、使用Xilinx ISE进行FPGA验证设计、使用Synopsys的Design Compiler工具进行综合及使用Astro进行后端设计,最后介绍了数字IC设计的验证方法学及可测性设计的基本概念和流程。本书与上一版相比,增加了第11章布局布线工具IC Compiler的使用方法,在第12章中增加了形式验证及静态时序分析的内容和相关工具的使用方法。同时本书在上一版教程的基础上新增了第三部分(第14~18章)Linux操作系统及其他相关知识,主要讲解在集成电路设计过程中一些需要掌握的服务器Linux操作系统知识、第三方存储单元的嵌入方法、数字集成电路功耗分析流程及相关工具的使用方法、芯片流片前需要检查和注意的事项,最后给出了集成电路设计领域常用的英文缩略语。
本书出版前的讲义多年来一直作为浙江大学微电子相关专业集成电路课程设计研究生课程的教材,不断积累并更新。本书在写作方式上,尽量从应用的角度引导读者学习、掌握软件的使用。选取了典型的工具,每部分的主体设计流程均经过了流片和测试验证,所选的例子也都是取自实际的科研和教学项目,具有一定的代表性和实用性。本书可以作为微电子及相关专业的研究生和高年级本科生的集成电路相关课程的参考教材,也适合作为集成电路领域的科研人员和工程师的参考资料。
本书提供配套电子课件、仿真程序源文件等,请登录华信教育资源网(http:www.hxedu.com.cn)注册下载。
全书架构及内容由浙江大学微电子学院韩雁教授总负责并审稿定稿,同时负责第一部分的编写;韩晓霞讲师负责第二部分的编写;第三部分由张世峰助理研究员编写。本书在编写过程中得到了浙江大学微电子学院微纳电子研究所多名研究生的大力帮助,有马绍宇、洪慧、陈金龙、霍明旭、黄小伟、周海峰、崔强、付文、韩成功、黄大海、陈磊、蔡友、陈茗、罗豪、张斌、程维维、廉玉平、张艳、张昊、彭成、范镇琪、蔡坤明、斯瑞珺、彭洋洋、张吉皓、曾才赋、杜宇禅、梁筱、周骞、陈雅雅和乔志通等,他们在文档翻译、实例的仿真验证、文稿录入、图表制作等方面都做了大量工作。作者在编写过程中也参考了大量的文献、资料、手册。在此一并表示真诚的感谢。
由于编者学识和水平有限,加之CADEDA工具的版本也在不断更新发展,错漏之处敬请读者批评指正。

作 者
2017年08月于浙大求是园

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.